Document zd7OM0ONopD62QJBbdRLKewn7
Background on Semiconductor Manufacturing and PFAS
Semiconductor PFAS Consortium
May 17, 2023
Acknowledgments: The consortium would like to acknowledge the contributions of the Semiconductor PFAS Consortium for their efforts to compile this information. The consortium would also like to acknowledge the assistance provided by David Medeiros of Entegris, Edward Watkins and Thomas Dory of Fujifilm Electronic Materials, Brooke Tvermoes of IBM, Dawn Graunke and Patrick Gottsacker of Intel, David Speed of Global Foundries, Jim Snow of SCREEN Semiconductor Solutions, Sarah Wallace and Tim Yeakley of Texas Instruments Inc., Robert Hanley supporting TSMC, Laurie Beu of Laurie Beu Consulting, and Melissa Gresham of Melissa Gresham Consulting.
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved.
1
This publication was developed by the Semiconductor PFAS Consortium. The contents do not necessarily reflect the uses, views or stated policies of individual consortium members.
Also published in the Semiconductor PFAS Consortium white paper series:
Case Study Case Study Case Study
White Paper
White Paper White Paper White Paper White Paper White Paper
PFOS and PFOA Conversion to Short-Chain PFAS Used in Semiconductor Manufacturing PFAS-Containing Surfactants Used in Semiconductor Manufacturing PFAS-Containing Photo-Acid Generators Used in Semiconductor Manufacturing PFAS-Containing Fluorochemicals Used in Semiconductor Manufacturing Plasma-Enabled Etch and Deposition PFAS-Containing Heat Transfer Fluids Used in Semiconductor Manufacturing PFAS-Containing Materials Used in Semiconductor Assembly, Test and Substrate Processes PFAS-Containing Wet Chemistries Used in Semiconductor Manufacturing PFAS-Containing Lubricants Used in Semiconductor Manufacturing PFAS-Containing Articles Used in Semiconductor Manufacturing
About the Semiconductor PFAS Consortium
The Semiconductor PFAS Consortium is an international group of semiconductor industry stakeholders formed to collect the technical data needed to formulate an industry approach to perfluoroalkyl and polyfluoroalkyl substances (PFAS).
Consortium membership comprises semiconductor manufacturers and members of the supply chain including chemical, material and equipment suppliers. The consortium includes technical working groups, each focused on the:
Identification of PFAS uses, why they are used, and the viability of alternatives. Application of the pollution prevention hierarchy to (where possible) reduce PFAS consumption or
eliminate use, identify alternatives, and minimize and control emissions. Development of socioeconomic impact analysis data. Identification of research needs.
This data will better inform public policy and legislation regarding the semiconductor industry's use of PFAS and will focus R&D efforts. The Semiconductor PFAS Consortium is organized under the auspices of the Semiconductor Industry Association (SIA). For more information, see www.semiconductors.org.
AGC Chemicals America Applied Materials Inc. Arkema ASML BASF Brewer Science Central Glass Co. Ltd. Chemours DuPont Edwards EMD Electronics Entegris Fujifilm Electronic Materials
Georg Fischer GlobalFoundries Henkel Hitachi High-Tech America IBM Intel Corp. JSR Lam Research Linde Micron Technology Moses Lake Industries NXP Semiconductors Samsung Austin Semiconductor
SCREEN Semiconductor Solutions Co., Ltd. Senju Metal Industry Co. Ltd. Shin-Etsu MicroSi Skywater Solvay STMicroelectronics Sumitomo Chemical Co. Ltd. Texas Instruments Inc. Tokyo Electron Ltd. Tokyo Ohka Kogyo Co. Ltd. TSMC Zeiss
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved.
2
Table of Contents
1.0 Overview of the Semiconductor Industry ............................................................................................... 4 2.0 Introduction............................................................................................................................................. 5 3.0 PFAS Definition...................................................................................................................................... 8 4.0 History of Semiconductor Industry Voluntary Actions on PFAS........................................................... 8 5.0 Semiconductor Overview........................................................................................................................ 9 6.0 Supply-Chain Complexity .................................................................................................................... 10 7.0 Clean-Room Design.............................................................................................................................. 13 8.0 Tool Exhaust ......................................................................................................................................... 15 9.0 The Importance of Contamination Control ........................................................................................... 15 10.0 Properties of Fluorine and Organofluorine Compounds ..................................................................... 16
10.1 Perfluoroalkyl Acids ....................................................................................................................... 17 10.2 Surfactants....................................................................................................................................... 17 10.3 Fluorochemicals in Semiconductor Plasma-Enabled Etch and Deposition Processes.................... 18 11.0 Sustainability and the Semiconductor Technology Timeline ............................................................. 21 12.0 EHS Controls ...................................................................................................................................... 24 12.1 Occupational Exposure Control Strategy........................................................................................ 24 12.2 SEMI Safety Guidelines for Tool Design ....................................................................................... 25 12.3 Safety Procedures and On-Site Industrial Hygiene Staff ................................................................ 26 12.4 Qualitative and Quantitative Chemical Risk Assessments ............................................................. 26
12.4.1 SEMATECH PFOS Industrial Hygiene Monitoring In and Around Track Tools ................... 26 12.4.2 NIOSH Research on PFAS ...................................................................................................... 27 12.4.3 Environmental Controls ........................................................................................................... 27 12.4.4 Wastewater Discharges and Treatment .................................................................................... 27 12.4.5 PFAS Waste Disposal and Destruction by Incineration .......................................................... 30 12.4.6 Air Emissions Control and Abatement .................................................................................... 30 13.0 R&D Needs ......................................................................................................................................... 32 13.0 Conclusions......................................................................................................................................... 33 14.0 References........................................................................................................................................... 35 Appendix A: Glossary of Acronyms and Terms......................................................................................... 40 Appendix B: Semiconductor and Supply-Chain Complexity ..................................................................... 52 Appendix C: List of SEMI Safety Guidelines ............................................................................................ 60
Executive Summary Semiconductors are essential components of electronic devices and are integral to modern society. A number of semiconductor manufacturing processes and applications use PFAS chemicals, a group of chemicals that contain two or more fluorine atoms bonded to a carbon or hydrocarbon backbone.
The Semiconductor PFAS Consortium, which comprises semiconductor manufacturers and semiconductor equipment and chemical and material suppliers, has established seven working groups to:
Identify the principal applications of PFAS-containing materials in the industry. Assess the application-specific performance requirements. Determine the role of fluorocarbons in fulfilling performance requirements.
By collecting this information, the consortium has determined where PFAS-containing materials are essential and where they are not. Technical areas of focus for the working groups are photolithography, wet chemistry, heat transfer fluids (HTFs), fluorocarbon uses in plasma etch and deposition, chip packaging, lubricants, and manufacturing equipment and its associated infrastructure (also known as
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved.
3
"articles"). The working groups have prepared white papers and case studies presenting their findings, which are based on semiconductor manufacturer and supplier survey responses, literature and patent reviews, and expert input.
The results of this work have shown that in a majority of cases, PFAS-containing materials provide properties integral to the semiconductor industry that are not found in known, non-PFAS alternatives. Eliminating PFAS-containing materials from this industry will require years of research and development (R&D) to identify, demonstrate, integrate and implement alternatives. Minimizing emissions may be a more feasible route for most applications.
This white paper contains information that supplements and supports each of the working group's work products, including a discussion of:
Different regulatory bodies' PFAS definitions, including the consortium's definition, which is any organic chemical with a perfluorinated methylene group (-CF2-) and/or perfluorinated methyl group (CF3) moiety.
Actions that the industry has taken to eliminate and replace long-chain PFAS-containing materials with more environmentally benign substitutes.
The global supply chain associated with this industry, and the interconnected relationship between suppliers, manufacturers and end users.
The organization of modern advanced semiconductor manufacturing facilities. A summary of fluorine and organofluorine properties that make them critical for some applications. Human health and environmental controls. The R&D necessary to find acceptable substitutes for PFAS-containing materials, and, where PFAS-
containing materials are essential to this industry and substitutes are not possible, the development of environmental emissions-reduction technologies.
1.0 Overview of the Semiconductor Industry Over the past three decades, the semiconductor industry has experienced rapid growth and delivered enormous global economic impact. Chip performance and cost improvements made possible the evolution from mainframes to PCs in the 1990s, the World Wide Web and online services in the 2000s, and the smartphone revolution in the 2010s.
These chip-enabled innovations created significant economic benefits. For example, from 1995 to 2015, an estimated $3 trillion in global gross domestic product (GDP) was directly attributable to semiconductor innovation, along with an additional $11 trillion in indirect impact (SIA 2022).
Semiconductors have become essential to our modern world, which is why long-term market demand remains strong. The impact of semiconductor availability to other industries was brought to the fore during the first two years of the COVID-19 pandemic, when COVID-19-induced reductions in chip manufacturing created bottlenecks in downstream manufacturing. Figure 1 shows global semiconductor sales growth from 2001 through 2021. Global semiconductor industry sales totaled $573.5 billion in 2022 (SIA 2022), the highest-ever annual total and an increase of 3.2% compared to the 2021 total of $555.9 billion.
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved.
4
Figure 1: 20 years of global semiconductor sales growth (2001-2021).
2.0 Introduction The semiconductor industry uses PFAS-containing materials in numerous critical applications. Because of human health and environmental factors associated with the persistence, bioaccumulation and toxicity of some fluorinated organic chemicals, legislative and regulatory efforts worldwide are seeking to categorize a majority of fluorinated organic chemicals under a single class termed PFAS, and initiate restrictions that could limit the use of PFAS-containing materials to only those considered essential to the function of society.
Given the criticality of fluorinated organic chemicals to semiconductor manufacturing, the Semiconductor PFAS Consortium has developed six white papers that identify PFAS uses in semiconductor manufacturing and assess where use meets the definition of "essential" (Cousins, et al. 2019). To the extent that PFAS-containing formulations are essential to manufacturing semiconductors, the papers present the socioeconomic factors and data that support continued use, under exemptions with near-zero release. To the extent that nonfluorinated alternatives may be available that can satisfy applicationspecific performance requirements, the papers will identify the key steps necessary to develop, qualify and implement new materials into high-volume semiconductor manufacturing. They also outline potential avenues of R&D for new materials.
Six white papers and three case studies prepared by the consortium collectively address the principal areas where semiconductor manufacturing uses fluorinated organic chemicals. The seven areas covered in these white papers and case studies are:
Photolithography. Photolithography is a patterning process that defines where to add or remove materials in each step of the fabrication of integrated circuits. Specialized fluorinated organic chemicals serve several important roles in performing photolithographic patterning processes (Ober, Kafer and Deng 2022). There are three supporting case studies on photoacid generators (PAGs), surfactants, and the history of perfluorooctyl sulfonate (PFOS) and perfluorooctyl acetate (PFOA) phaseouts.
Wet chemical processing. A number of different semiconductor manufacturing operations, including cleaning, etching, plating and planarization, employ aqueous- or solvent-based formulations. Some of these applications use fluorinated organic chemicals.
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved.
5
Fluorocarbon uses in plasma etch and deposition. Perfluorocarbons (PFCs) and hydrofluorocarbons (HFCs) are essential gases for directional etching and cleaning of silicon compounds. Silicon and silicon compounds are the fundamental semiconductor components; they provide the conductive properties of metal as well as operating as an insulator. Additionally, fluorinated organometallic compounds are essential for the deposition of metal-containing films.
HTFs. Many semiconductor manufacturing processes entail physical and chemical processes that require precisely controlled temperatures, and thus are highly reliant on HTFs. In both cooling and heating applications, fluorinated HTFs (F-HTFs) help ensure the ability to provide the precise temperature control required in specific manufacturing operations within the semiconductor fabrication process, and enable the testing of products to ensure the appropriate performance of semiconductor chips within finished electronic products.
Assembly, test and packaging materials. A semiconductor package encloses one or more semiconductor devices or integrated circuits, protecting the device from the environment. The package connects the semiconductor to the printed circuit board (PCB); dissipates heat; and provides protection from the surrounding environment, particularly from moisture, shock/vibration, dust, etc.
Semiconductor manufacturing and related equipment (SMRE) and infrastructure articles. Semiconductor manufacturing facilities and the manufacturing equipment and infrastructure within them contain a multitude of articles. An article is any object made from one or more substances and mixtures which during production is given a special shape, surface or design that determines its function to a greater degree than its chemical composition, whether on its own or in an assembly with other articles, substances and mixtures. PFAS-containing articles include those made of a fluoropolymer, articles coated or painted with a fluoropolymer, or other PFAS-containing materials (such as oligomers) and those made of non-PFAS polymers containing PFAS processing/machining aids or additives. Many semiconductor manufacturing applications require the use of PFAScontaining articles for safety, contamination control, resilience and other factors.
Pump fluids and lubricants. Semiconductor manufacturing relies on the extensive use of robotics, automation and vacuum systems to achieve nanometer-scale precision. The use of lubricants, many of which need to be fluorinated, is essential to the precision and reliability of these systems.
Figure 2 is a broad overview of the scope of the Semiconductor PFAS Consortium's analysis, showing both front- and back-end semiconductor processing, as well as facility support functions and device assembly, test and packaging operations. The scope of the operations considered by the Semiconductor PFAS Consortium starts with a bare silicon wafer entering a manufacturing facility and ends at the packaging of semiconductor devices.
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved.
6
Figure 2: General overview of semiconductor manufacturing process steps, operations and systems evaluated by Semiconductor PFAS Consortium working groups.
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved.
7
3.0 PFAS Definition The term PFAS gained use with a publication by Buck et al. (Buck, Franklin, et al. 2011) that presents a rational chemical nomenclature for describing fluorinated organic chemicals. Since then, many organizations have adopted the term PFAS, each applying somewhat different criteria to the definition, and affecting the span of compounds covered (OECD 2018); (OECD 2021); (US EPA 2021). Under the current Organization for Economic Co-Operation and Development (OECD) definition, the term PFAS includes virtually every compound that contains a -CF2- or -CF3 group (OECD 2021).
The purpose of the white papers is to identify the use of all materials that could potentially meet a regulatory definition of PFAS; therefore, the consortium has defined the scope of materials to include all chemistries and materials that contain molecules with -CF2- and/or -CF3. As such, this definition closely aligns with the OECD definition.
However, as noted by the OECD, the term PFAS is a broad, general, nonspecific term that does not indicate whether a compound is harmful or not, but communicates only that the compounds under this term share the same trait for having a fully fluorinated methyl or methylene carbon moiety (OECD 2021). In fact, the application of this definition lumps together gases, liquids and solids with vastly different properties, and that range in size from difluoromethane (CF2H2) to large, highly complex organic polymers and surfactants.
4.0 History of Semiconductor Industry Voluntary Actions on PFAS The semiconductor industry has been attuned to environmental concerns about the substances it uses, and quick to act proactively with voluntary elimination and reductions. For instance, when evidence of the persistence, bioaccumulation and toxicity of PFOS surfaced in the early 2000s, the World Semiconductor Council (WSC) initiated an international voluntary commitment in 2006 to phase out PFOS use worldwide, a goal that it achieved in 2011 (Council 2011). The WSC also committed to voluntarily phase out the use of PFOA by 2025. Companies began this phaseout in 2010 and, today, the majority of companies have eliminated PFOA.
Similarly, in the face of global warming concerns, the U.S. Environmental Protection Agency (EPA) and Semiconductor Industry Association initiated a voluntary Perfluorocarbon Reduction Climate Partnership in 1996, which expanded into voluntary worldwide commitments that resulted in nearly a 50% reduction in the emissions of PFC gases between 1995 and 2010 (WSC 1999-2014), and which continues today.
Our experience with these initiatives has taught consortium members valuable lessons regarding the resource, effort and timeline required to introduce alternative chemicals into some of the world's most complex technologies.
The manufacture of semiconductor devices requires the use of manufacturing tools and processes that are highly integrated, with hundreds to thousands of interdependent steps that must be conducted at nanometer scale using materials that have complex relationships. Once a material has been engrained into a semiconductor manufacturing process, it can be excruciatingly difficult to find a viable alternative, prove that the alternative can be substituted without disrupting interdependencies, and then integrate the alternative into a high-volume manufacturing (HVM) process. This challenge makes it important to conduct collaborative R&D at the pre-competitive level, ideally with pooled resources like the Semiconductor Research Corp. (SRC), Interuniversity Microelectronics Center (IMEC), Industrial Technology Research Institute, the Semiconductor PFAS Consortium, and now-inactive SEMATECH. Industry-funded collaborative efforts have resulted in the publication of many dozens of PFAS-related research papers in peer-reviewed literature.
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved.
8
No known alternatives exist for many of the industry's uses of fluorocarbons. Given its carbon-fluorine chemistry, PFAS-containing materials offer a unique set of surface tension, stability and chemical compatibility that many semiconductor applications require. For example, despite years of extensive research, there have been no viable PFAS-free alternatives identified for the fluorocarbon gases used in plasma etch processes, fluorinated chemicals used in photolithography, or fluorinated chemicals used as refrigerants and HTFs.
If an alternative is found, the process of qualifying and replacing critical materials in the semiconductor industry is a highly complex, multistep, multiyear, supply-chain stakeholder technical challenge.
The possibility of regrettable substitution, in which a well-intentioned alternative is instituted and then later found to have undesirable characteristics, is an ever-present concern. The four perfluorocarbon sulfonic acids in wide use today - such as the acid anion in PAGs, for instance - were a widely endorsed solution to PFOS PAGs that were replaced just over 10 years ago, and yet now are the target of U.S. EPA and European Union (EU) regulatory actions. Similarly, some of the fluorinated refrigerants and HTFs in use today represent a fourth generation of replacements, where the intention of each generation has been to remedy the environmental, health and safety concerns of the previous generation. From this, it has become obvious that the selection of alternatives must be well-informed.
The semiconductor industry will continue to focus on using PFAS chemistries safely and responsibly until it can find viable and proven alternatives.
5.0 Semiconductor Overview Semiconductors power our world. In health care, they make robotic surgery, advanced imaging, pacemakers, continuous glucose monitoring and insulin pumps possible. Semiconductors enable safety systems in automobiles such as blind-spot detection, backup cameras, emergency braking systems, lanechange assistance and adaptive cruise control. Semiconductors are the brains and memory in computers, mobile phones and smartwatches.
The first computer based on silicon-integrated circuits was the Apollo guidance computer that took humans to the moon. Those computers could perform 85,000 operations per second (Fishman 2019). In 1965, Gordon Moore postulated that the number of components on an integrated circuit would double every two years (Moore 1965); mobile phones in 2023 can perform 17 trillion operations per second (Wiggers 2022). In a span of 60 years, semiconductors have seen a 200 million times increase in computing power.
Semiconductor chips are the most complicated mass-produced devices that humans have ever made, and the processes used to manufacture them are among the most complex and expensive in modern manufacturing. Chips are made on a silicon wafer, as shown in Figure 3, using complex photolithographic, deposition, plasma etching, cleaning and planarization processes. There are hundreds of required chemical formulations. For information on the increasing complexity of semiconductor devices, technology changes driving increased chemical usage and an overview of the manufacturing process, see Appendix B.
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved.
9
Figure 3: The manufacturing process from wafer fab through packaged device (Source: Micron Technology Inc.).
6.0 Supply-Chain Complexity Advances in the efficient and controlled use of materials are critical to improving semiconductor device performance. The manufacturing supply chain, a subset of the industry ecosystem, is global and highly integrated. It depends on a range of articles provided by specialized and supporting equipment suppliers as well as the specialty chemical suppliers shown in Figure 4. The SMRE used for semiconductor manufacturing is enormously complex, comprising thousands of components and subcomponents coming from many different supply-chain tiers, and equally many suppliers from start to finish.
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved.
10
Note 1: Blue text represents examples of direct semiconductor equipment suppliers. Note 2: Green text represents examples of direct semiconductor material suppliers. Note 3: Flags represent the suppliers' headquarters and do not represent the global extent of the suppliers' operations. Note 4: The facility infrastructure supply chain is not represented in the figure above but has its own unique and complex supply chain. Note 5: Connectors may not represent all supply pathways but rather are representative.
Figure 4: The semiconductor global supply chain in 2019: example tier-1 SMRE and material suppliers (The Center for Security and Emerging Technology 2019).
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved.
11
SMRE itself is enormously complex, with a large, international supply chain. In a New York Times article, Dario Gil, a senior vice president at IBM, described the current leading-edge photolithography exposure tool, which uses extreme ultraviolet (EUV) light, as " ... definitely the most complicated machine humans have built" (Clark 2021). Figure 5 shows an EUV tool, manufactured by Dutch firm ASML, containing 100,000 parts and 2 km of cabling (Levi 2021). If any part is faulty, the machine will fail to produce chips that can be sold for commercial use. Specialized components of the machine are made in facilities in Germany, the U.S., the U.K. and Japan; it would not have been possible to build the tool were it not for international, cross-industry and supply-chain collaboration.
SMRE such as the EUV tool incorporates many articles made from (or that contain) PFAS-containing materials because PFAS articles possess a unique set of characteristics required for certain semiconductor manufacturing processes, including inertness, purity, chemical and permeation resistance, a wide range of temperature stability, a low coefficient of friction, electrical properties, bacterial growth resistance, nonflammability, and a long service life (>25 years).
Figure 5: ASML's latest EUV lithography patterning machine (Source: ASML).
Tier-1 (or direct) suppliers, in turn, have a supply chain of their own (tier-2 and tier-3 suppliers), with dependencies, for example, on components such as valves, tubing and machined parts for SMRE manufacturers or raw materials for chemical suppliers. Additionally, these subsuppliers have suppliers as well, leading to a supply chain much more complicated than depicted in Figure 4.
The depth and complexity of the SMRE supply chain makes tracing the presence of PFAS-containing materials in individual components quite difficult. For more information on supply-chain complexity, see the Semiconductor PFAS Consortium white paper, "PFAS-Containing Articles Used in Semiconductor Manufacturing."
The supply chain for semiconductor chemicals is also international; Figure 4 shows example tier-1 material suppliers in green text. Every gas or liquid that touches the wafer must have its impurities (substances that could negatively impact yield) controlled to levels less than a few parts per billion (ppb) and even to less than a few parts per trillion (ppt). One part per trillion is equivalent to 1 second in 32,000
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved.
12
years. The current technology node of 5 nm (an expression of the size of features fabricated into semiconductor devices) is tremendously difficult to make. Any impurity present, even if nanoscale in size, can result in malfunctioning devices.
For additional information on supply-chain complexity, see Appendix B.
7.0 Clean-Room Design The fabrication of semiconductors is conducted in specialized buildings known as "fabs" that use clean rooms, and a hierarchy of design features that isolate workers and wafers from chemicals. Fabs comprise clean-room spaces that house manufacturing tools, and support spaces that house the many electrical, mechanical and chemical systems that contain manufacturing tools. The building can be divided between clean-room and support space in a number of alternative ways, such as "bay and chase" designs where the support space surrounds the clean-room space horizontally. However, most modern fabs employ a ballroom-type design where the clean-room space consists of one or more very large rooms that house hundreds of individual manufacturing tools, with the ancillary support systems provided from below (subfab) and above.
A fab's clean-room design approach protects manufacturing personnel and is also critical to semiconductor wafer product quality. Figure 6 illustrates a typical 300-mm ballroom fab consisting of an interstitial and fan deck; a clean room, where manufacturing operations are conducted; the subfab, which contains pumps and other ancillary equipment to support process tools; and the utility level, which contains chemical- and air-handling equipment, emission controls, and other infrastructures.
1. Interstitial and fan deck
Houses systems to keep the cleanroom air particle-free and at right temperature and
humidity.
2. Cleanroom level
Factory where process tools take bare silicon wafers and create tens to hundreds
of computer chips on the wafer.
3. Clean subfab level
Contains thousands of pumps and other equipment to support the tools in the
cleanroom. Pipes and ductwork carry gases, liquids, waste and exhaust.
4. Utility level
Contains electrical panels, chillers and compressor systems along with the large
utility pipes and ductwork.
Figure 6: Example semiconductor manufacturing facility layout (CPS an Exyte Group Company 2019).
On the interstitial and fan deck level, clean-room airflow recirculates vertically downward through filters mounted in the clean-room ceiling to the clean-room floor, where the air exits and returns through a plenum system, where it mixes with conditioned fresh air from outside the building before being recirculated back through the clean room. Fabs typically employ high-efficiency particulate air (HEPA)or ultra-low particulate air (ULPA)-rated filters. ULPA filters are rated to remove 99.999% of particles larger than 120 nm (0.12 m) and provide an exceptional level of particle removal. Vertically downward
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved.
13
laminar airflow carries the freshest air past the typical personal breathing zone (PBZ) of fab workers, past the manufacturing tools, and then down and out through the return plenums located in or at the floor level.
In a typical 300-mm wafer manufacturing fab, the entire volume of air in the clean room is replaced every four to seven minutes, and the entire volume of air in the clean room is recirculated through ULPA filters at a rate of once every 30 seconds to one minute. This extensive level of air circulation and replacement provides an exceptional level of cleanliness.
The fabrication of an integrated circuit on a silicon wafer occurs in the clean room and involves a sequence of hundreds of additive, subtractive, photolithographic and cleaning steps that entail shuttling wafers between specialized manufacturing tools. Boxes of wafers or front-opening unified pods (FOUPs) transport wafers through an automated material handling system (AMHS) (see Figure 7), which uses thousands of autonomous vehicles to carry FOUPs containing as many as 25 wafers to the required process step (Intel 2020). Manufacturing tools, engineers and operators are located in the clean room. Virtually every tool has its own exhaust system, which maintains the tool enclosure under negative pressure relative to the clean room, thus preventing worker exposure to chemicals. Great care is taken to avoid any contact of wafers with workers, in order to prevent contamination.
The subfab contains thousands of pumps and other equipment to support tools, as well as laterals to convey gases, liquids, waste and exhaust to and from production tools.
The utility level, typically housed on the bottom level (but also in separate rooms on the side of the building or in separate structures) provides services that include ultra-pure water (UPW), bulk high-purity gases such as nitrogen and argon, exhaust gas handling and disposal ducts, electrical panels, chillers, and compressor systems. There are compressed gas cabinets and bulk chemical distribution systems located in separate rooms segregated by hazard class. Much of the supporting infrastructure is made up of fluoropolymers and other PFAS-containing articles used for their functional characteristics.
Fabs are capital-intensive facilities, costing billions of dollars to construct. A state-of-the-art fab of standard capacity requires roughly between $5 billion (for an advanced analog fab) and $20 billion (for advanced logic and memory fabs) of capital expenditure (including land, buildings and equipment). The primary threats to safe and continuous fab operations include fires/explosions, fluid leakage and critical service interruptions (FM Global 2019). Many semiconductor manufacturers limit the amount of combustible material that can be present in a tool to 1 pound per square foot of tool to reduce flammable loading; so does Factory Mutual (FM), a major insurer of the industry (FM Global 2019). Fluoropolymers exhibit a high resistance to combustion (FM 4910 compliance; American Society of Testing and Materials [ASTM] E84 25/50 rating), a property that is critical to minimize factory damage from smoke and other risks, and to meet combustible material limits.
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved.
14
Figure 7: Inside a wafer fab, a worker walks down a row lined with process tools. Wafers are loaded into each tool via FOUPs. An AMHS carries FOUPs throughout the fab to the appropriate
tool for processing.
8.0 Tool Exhaust Chemical processes are designed to isolate chemicals from workers. These processes are conducted in tools equipped with process exhaust that route to either an abatement system or to general exhaust, as appropriate to the nature and quantity of the chemicals used within the tool's processing chambers.
Tool exhaust systems are typically balanced to maintain processing chambers at a negative pressure relative to the rest of the tool, with the tool itself typically maintained at negative pressure relative to the clean room. Collectively, these measures assure the capture of chemicals used in tool-specific process chambers directly at their source so that they do not migrate elsewhere within the tool or clean room. For additional information about fabrication and assembly, test and packaging facility exhausts and abatement, see the Environmental Controls section.
9.0 The Importance of Contamination Control Smaller device features and increasingly more complex 3D structures require strict contamination controls to achieve acceptable wafer production yields. Contamination control is an essential aspect of semiconductor manufacturing. Trace amounts of contamination in the form of particles, impurities or other unwanted materials can lead to yield or reliability issues in semiconductor devices. Sources of contamination may be the fab manufacturing environment or workers; process chemicals and gases; the UPW used to rinse wafers; or the packaging and delivery of chemicals, gases and UPW.
Manufacturers implement stringent protocols to mitigate sources of potential defectivity. At the highest level, such protocols include precise control over the quantity of airborne particles in the clean room through careful control of the airflow, proper filtration of the ambient air, and the appropriate isolation of fab workers through proper gowning and hygiene procedures.
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved.
15
Wafers used in manufacturing are enclosed in highly engineered containers as they are processed in fabs. Carefully maintaining tooling prevents the introduction of particles. It is important to hold materials such as specialty gases, wet chemistries, solid precursors, solid metal targets and UPW to exacting specifications of purity and deliver them to process equipment in a pristine manner.
PFAS-containing materials, in particular fluoropolymers, play a critical role in affording the level of cleanliness required for high-yielding, high-reliability semiconductor devices, as they are often the only materials that possess the unique combination of chemical inertness, heat resistance, lack of particle shedding or metal leaching, processability, and other attributes required to meet rigorous requirements.
Today's most advanced technologies are made with EUV lithography, capable of feature sizes in the single nanometer scale and gate lengths of ~10 nm or less. Thus, defectivity in the tens- to singlenanometer domain can be detrimental to device yields, performance and reliability. In order to enable manufacturing at these dimensions, cleanliness afforded by fluoropolymer plastics and other PFAScontaining articles used for piping, tanks, seals and coated valves is often essential.
10.0 Properties of Fluorine and Organofluorine Compounds Fluorine is the most electronegative element in the periodic table, with a dense, closely held electron cloud (Lemal 2004). When bonded with carbon, fluorine's high electronegativity (3.98 vs. 2.55), relatively small size and three lone sp3 nonbonding electron pairs result in the carbon-fluorine bond having the highest bond strength known to organic chemistry (O'Hagan 2008). The high electronegativity differential polarizes the C-F bond and creates a Coulombic attraction between carbon and fluorine that contributes to the bond strength of the covalent CF bond (Liang, Neumann and Ritter 2013). The dense electron cloud around the F also helps shield and strengthen the skeletal CC bonds in fluorocarbon chains (Lemal 2004).
Compared to the C-H bond, the C-F bond is stronger (13 kcal/mole higher) and longer (1.35 vs. 1.09 ), with a larger dipole moment (1.85 D vs. 0.3 D) that is opposite in direction to that of the C-H group (Biswas and Singh 2020). The combination of high C-F bond strength and shielded C-C bonds make fluorocarbons much less flammable relative to hydrocarbons, while also resistant to degradation by oxidants, reductants, acids and bases, photolysis, and microbial and multicellular metabolic processes (Kovalchuk, et al. 2014); (Krafft and Riess 2015).
Because of the strength of the C-F bond and the small size of F, it is possible to substitute fluorine for hydrogen in virtually any kind of organic molecule (Lemal 2004). Consequently, most fluorinated commercial organic chemicals are created by replacing one or more of the hydrogens in a hydrocarbon molecule with fluorine atoms. For instance, when fully fluorinated, methane (CH4) becomes carbon tetrafluoride (CF4); methane sulfonic acid (CH3SO3H) becomes triflic acid (CF3SO3H); and octanoic acid (CH3(CH2)6COOH) becomes perfluorooctanoic acid (CF3(CF2)6COOH), also known as PFOA. Much of what we understand about fluorocarbons comes from comparisons to the corresponding hydrocarbon analog.
Individual C-F bonds are highly polar, yet perfluorocarbon molecules have low polarizability and are among the most nonpolar solvents known (Kirsch 2013). The seeming contradiction between the high polarity of individual C-F bonds and the low polarity of overall perfluorocarbon molecules is a consequence of the individual local dipole moments canceling one another, which renders a perfluorocarbon molecule nonpolar overall (Kirsch 2013). As a result of the low molecular polarizability, the van der Waals-type intermolecular attractions between perfluorocarbons and between perfluorocarbons and other organic molecules are weak (Kirsch 2013). Weak attraction between
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved.
16
perfluorocarbons of the same type makes them volatile (Krafft and Riess 2015). Perfluorocarbons tend to be lipophobic, and because CF bonds are also poor hydrogen bond acceptors, perfluorocarbons also tend to be hydrophobic (Han, et al. 2021); (Krafft and Riess 2009).
The strength of the intermolecular forces between two molecules of the same type governs physical properties such as melting and boiling points, vapor pressure, enthalpy of vaporization, and viscosity (Krafft and Riess 2015). Because of the weak intermolecular attraction between perfluorinated molecules, neutral perfluorocarbons have low melting and boiling points, high vapor pressures, low enthalpies of vaporization, and relatively low viscosities (Krafft and Riess 2009). Carbon tetrafluoride (CF4, molecular weight [MW] 88) has a much lower boiling point (-128C) than n-hexane (MW 86, boiling point [BP] = 69C), with both compounds having nearly the same molecular mass (Kirsch 2013). On the other hand, ionic perfluorocarbons such as perfluoroalkyl carboxylates and perfluoroalkyl sulfonates are wellsolvated in water, and have low volatility.
The larger size of fluorine compared to hydrogen (with a 23% larger van der Waals radius) make perfluorocarbons bulkier and impose steric requirements that make fluorocarbon chains more rigid than hydrocarbon chains (Krafft and Riess 2015). Given their bulkiness and repulsive fluorine-fluorine interaction, longer fluorocarbon chains tend to adopt a helical geometry, which differs from the planar structure and behavior of the corresponding hydrocarbons (Krafft and Riess 2009). More specifically, the helical geometry is caused by the interaction of the back lobe of an sp3 C-F bond orbital with the front lobe of a C-F orbital on a neighboring carbon.
Consequently, the anti-dihedral angle of F-C-C-F is a local maximum with global minima approximately 5 degrees from the anti-conformation (Watkins and Jorgenson 2001). The larger effective surface area of fluorocarbon chains contributes to the hydrophobicity of perfluorocarbon relative to hydrocarbon chains (Krafft and Riess 2015). For instance, the free energy change associated with the transfer of a -CF2- group from bulk water to the air-water interface is about twice that of a CH2 group (Krafft and Riess 2009). This strongly promotes the partitioning of a fluorocarbon to an air-water interface.
In partially fluorinated compounds where a combination of C-F and C-H bonds occur within the same molecule, the local dipole moments may not cancel, with the result that these molecules may have an appreciable overall dipole moment (Kirsch 2013). Partially fluorinated organics often have much higher heat of vaporization and much higher dielectric constants than perfluorocarbons (Kirsch 2013).
10.1 Perfluoroalkyl Acids Two of the most commercially important types of fluorinated organic molecules are the homologous series of perfluoroalkyl carboxylic acids (PFCAs, CF3(CF2)nCOOH) and the homologous series of perfluoroalkyl sulfonic acids (PFSAs, CF3(CF2)nSO3H). Collectively, they are known as perfluoroalkyl acids. The electron-withdrawing characteristics of perfluoroalkyl tails stabilize the anion of the acid head group, rendering PFSAs and PFCAs as strong acids with a very low acid dissociation constant (pKa). Perfluoroalkyl acids are much stronger than their corresponding hydrocarbon analogs and are sometimes referred to as "super acids." There is relatively little increase in acidity with an increase in perfluoroalkyl chain length. For example, the first -CF2- group adjacent to the sulfonic acid moiety is responsible for most of the acid strength (Olah, et al. 2009). The smallest perfluorosulfonic acid, CF3SO3H, is one of the strongest known monoprotic organic acids and has extreme thermal stability, with resistance to both oxidative and reductive cleavage (Howells and McCown 1977).
10.2 Surfactants Fluorocarbon surfactants reduce the surface tension of water to much lower values (~15 to 20 dyne/cm) than hydrocarbon surfactants (~30 dyne/cm) because the fluorocarbon groups are bulkier, with a higher
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved.
17
molecular surface area, and have stronger hydrophobicity (Krafft and Riess 2015). The cross-sectional area of a fluorocarbon (27 2 to 32 2) is much larger than the corresponding hydrocarbon (18 2 to 21 2), and a significant contributor to its high surface activity (Kovalchuk, et al. 2014). Since the fluorocarbon tail is both oleophobic and hydrophobic, it is surface-active in hydrocarbons as well as water. Surfactant head groups include those that are anionic (sulfonates and carboxylates, phosphates), cationic (quaternary ammonium), nonionic (polyethylene glycols, acrylamide oligomers) and amphoteric (betaines) (Buck, Murphy and Pabon 2012).
Given the electron-withdrawing characteristics of the fluorocarbon tail, perfluoroalkyl acids have low pKa, and thus are fully ionized even under very acidic conditions. This can be especially important in wet etch and clean formulations that need to preserve the polar head group, even in the presence of strong mineral acids like hydrofluoric acid (HF).
The critical micellar concentration (CMC) of a surfactant in water is the concentration at which it aggregates into micellar structures that have a hydrophobic interior and a hydrophilic exterior, and above which no further decrease in surface tension occurs. The CMC of fluorocarbon surfactants are typically equivalent to those of hydrocarbon surfactants, with 50% longer chains (Mukerjee 1994).
In water, high hydrophobicity causes fluorinated surfactants to partition to interfaces where they can lower air-liquid, water-oil and air-solid interfacial tensions. The free energy of adsorption for the transfer of each CF2 group from bulk water to the air-water interface is roughly twice as large as for a CH2 group (-5.1 kJ/mole vs. -2.6 kJ/mole) (Krafft and Riess 2015).
10.3 Fluorochemicals in Semiconductor Plasma-Enabled Etch and Deposition Processes Fluorinated chemistries used in semiconductor plasma (dry) etch and chamber cleaning include HFCs/PFCs, nitrogen trifluoride (NF3) and sulfur hexafluoride (SF6). Thin-film deposition and plasma chamber cleaning can be gases (predominantly), liquid or solid (organometallic precursors). Table 1 lists some examples of substances used in these semiconductor manufacturing processes.
Table 1: Example PFC, HFC and organometallic precursors used in plasma-enabled etch, clean and deposition processes.
Classification
Name
Chemical Structure
HFC gas
Trifluoromethane (CHF3)/ HFC-23
HFC gas PFC gas
Difluoromethane (CH2F2)/ HFC-32
Tetrafluoromethane (CF4)/ PFC-14
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved.
18
PFC gas
Hexafluoroethane (C2F6)/ PFC-116
PFC gas
Octafluoropropane (C3F8)/ PFC-218
PFC gas
Octafluorocyclobutane (C4F8)/freon-C-318
Organometallic precursor ligands
tfac (1,1,1-trifluoro-2,4pentane-dionate)
Organometallic precursor ligands
hfac (1,1,1,5,5,5-hexafluoro2,4-pentane-dionate)
HFC and PFC gases are used in plasma etch tools as a safe source of fluorine, carbon and hydrogen for the precise and selective etching of silicon and other materials to form the often-geometrically complex features of a semiconductor device. Another process using HFC and PFC gases and a plasma source - commonly known as a "chamber clean" - involves the controlled removal of residual films from the interior of chemical vapor deposition (CVD) tools, while minimizing damage to the process chamber.
Since the 1980s, semiconductor manufacturers have used PFC gases such as C2F6 and CF4 as fluorine generation sources for CVD chamber cleans because they are nonflammable, less corrosive and less toxic than many other options. Concerns arose, however, about the high global warming potential (GWP) and long atmospheric lifetimes of fluorinated greenhouse gases (GHGs) (for example, C2F6 has a GWP100 of 12,400 and an atmospheric lifetime of 10,000 years; the GWP100 of CF4 is 7,380, with an atmospheric lifetime of 50,000 years).
In response to the GWP of PFC emissions, the industry undertook extensive voluntary actions to eliminate the use of PFCs where possible and to reduce emissions. NF3 remote plasma clean (RPS) has replaced fluorocarbon chamber clean processes in 300-mm tools; however, the increased use of NF3 has resulted in the generation of large quantities of HF and F2, which require the installation of additional air abatements and wastewater treatments to prevent their release to the environment.
When disassociated in a plasma, CF4 produces CF3 radical, electron and fluorine atoms, as indicated by Equation 1. The fluorine reacts with solid silicon, as indicated in Equation 2, to produce the volatile tetrafluorosilicon species, which is evacuated from the plasma etch chamber.
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved.
19
CF4 + e- => CF3* + F* + e-
(1)
4F* + Si(s) => SiF4(g)
(2)
The use of plasma etch in the semiconductor industry enables the directional (anisotropic) etching of materials, and therefore overcomes the "isotropic" nature of the etching in a conventional aqueous etching solution like hydrofluoric acid. An aqueous etchant removes material (etches) at a uniform rate in all directions (isotropic), resulting in an undercut of the film that requires etching. In contrast, the plasma etch process operates vertically downward (anisotropically), without undercutting the film that requires etching (see Figure 8).
It's common to need specific mixtures of PFC and/or HFC gases in order to selectively etch one material, and/or to form a protective polymer coating on the sidewall of the feature being etched that helps maintain the precise, uniform geometries of etched features. In this manner, the equilibrium between etching and deposition reactions provides a way to modulate the etching action.
For instance, the addition of H, CxFyHz, serves to control silicon dioxide (SiO2) and silicon nitride (SiN) uniformity and SiO2/SiN selectivity. Similarly, the use of CHF3 helps prevent the lateral etching of aluminum sidewalls. Employing different PFC gases and/or a combination of gases makes highly precise and selective etching at the nanometer scale possible.
Figure 8: An anisotropic etch.
In certain limited situations, it is possible to use fluorine gas (F2) with additive gases as the gas phase reactant for plasma etching; however, this involves replacing a nontoxic PFC gas with highly hazardous F2. The use of F2 requires significant human health and safety controls. F2 has limited applications in plasma etching because it represents an acute life/safety trade-off relative to currently used PFC and HFC
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved.
20
gases. Exhaust abatement technologies effectively destroy residual PFC and HFC gases from plasma etch and chamber clean processes.
11.0 Sustainability and the Semiconductor Technology Timeline As the complexity of semiconductor technology increased, the semiconductor industry realized the need for cooperation and pre-competitive R&D. Device makers, SMRE and material suppliers, academia, consortia and national labs came together to develop the first National Technology Roadmap for Semiconductors (NTRS) in 1992, an effort that continued with the International Technology Roadmap for Semiconductors (ITRS); the International Roadmap for Devices and Systems (IRDS) in 2017 and 2023, respectively; and the 2023 SRC Microelectronic and Advanced Packaging Technologies Roadmap. The SRC roadmap defines a 15-year timeline of technology requirements to continue on the path of Moore's law, as well as highlighting priority environmental, health and safety (EHS) research needs. In 1994, the NTRS stated, "The roadmap is analogous to paved roads of proven technology, unimproved roads of alternative technologies, footpaths toward new technologies, and innovative trails yet to be blazed."
The semiconductor technology timeline consists of four distinct phases:
Research. Fundamental research at universities and government labs occurs as much as 15 years before manufacturing ramp. Research addresses fundamental barriers to the extension of existing technologies, following multiple paths. Much research never makes it to subsequent phases.
Development. Development entails the evaluation of a pared-down number of alternative technologies, with a focus on proof of concept. Device makers, tool suppliers, chemical suppliers and consortia conduct research and develop prototype materials, processes and equipment, with an understanding of the application requirements.
Integration. Semiconductor manufacturers focus on the integration and qualification of fab processes, chemicals, tools, test protocols, and the verification of the physical and electrical design of new products, with a focus on developing functional, reliable and high-yielding products.
Ramp to HVM. This phase is the implementation of next-generation tools, chemicals and processes throughout a fab.
EHS is an essential element of the technology roadmap (see Figure 9), addressed through various means at each phase of the timeline.
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved.
21
Ability to Effect Change
Early engagement through universities and government
labs
Engagement through consortia,
and tool and chemical suppliers
Last chance to effect technology change
Technology frozen, major changes
require significant effort and substantial
cost
External Research
Development
Integration
Manufacturing Ramp
10+
4
2.5
0
Research
Commercialization Phases
Demonstration
YEARS to High Volume Manufacturing
Ramp to HVM
Figure 9: EHS engagement model.
The industry's ability to apply a pollution prevention hierarchy both quickly and cost-effectively (Figure 10) tracks with the technology timeline. It is easiest to address sustainability issues during the R&D phases, when an EHS assessment enables the identification of issues early enough to be resolved before transfer to HVM. It is possible to avoid materials of concern or to replace them with less hazardous substances at the research stage, although the majority of new materials evaluated in research do not progress to development because they do not meet the performance requirements.
It is important to evaluate basic physical-chemical properties and some aspects of environmental fate during research. But it is rare to pursue extensive EHS studies until materials are demonstrated because such studies take years to complete, and the material may never be commercialized. The impetus to address sustainability is most effective if driven from the funding side of the R&D equation.
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved.
22
Figure 10: Implementation of a pollution prevention hierarchy.
The development and integration phases entail efforts to optimize processes and reduce chemical and resource consumption. Many semiconductor processes are transformative; for example, in plasmaenhanced CVD, a plasma reaction deposits input gas as solid thin films (see Figure 11); other processes use thermal energy to generate reaction products.
Inputs
CCoonnvveerrssioionn/C/Coonnssuummppttioionn RReeaaccttioionn/B/ByypprroodduuccttFFoorrmmaattioionn
Outputs
Figure 11: The emissions characterization process involves the evaluation of inputs, outputs, and conversion or reaction byproducts.
Emissions characterization and mass-balance models provide an understanding of process efficiency and enable process optimization to minimize chemical consumption and increase utilization efficiency; moreover, you can identify process byproducts and assess and address EHS risks. Assessing emissions to determine whether they can be recycled or reclaimed often occurs at the integration stage.
The progression of new technologies in the development cycle reduces the options for addressing EHS issues because SMRE, chemicals, processes and controls are set at HVM ramp. Changes at HVM are time-consuming and costly; thus, disposal and abatement are the primary solutions available once a technology has reached HVM.
Major barriers exist to implementing alternatives after HVM ramp. It is important to evaluate and demonstrate that any alternative has equivalent process performance, requiring research and invention
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved.
23
that may not be successful. To prevent regrettable substitutions, any evaluation of alternatives includes emissions and byproducts characterization to understand what is potentially emitted, and in what concentrations; it is also imperative to consider the EHS characteristics of alternatives and reaction byproducts. After selecting an alternative, environmental fate and toxicity data is often not available and testing may take several years. If an alternative provides equivalent process performance but is more hazardous to workers, risk assessments will identify the controls necessary to protect workers. Alternative materials must also be evaluated from an environmental perspective.
A proven alternative requires the requalification of processes, an effort that can take six months to several years; moreover, customers of semiconductor products used in applications that require extended lifetimes and reliability (such as automotive and defense) are reluctant to allow process changes and, if a process change is allowed, require product requalifications that can take an additional five to eight years (PFAS Consortium 2023).
In summary, the timeline to identify and implement PFAS alternatives is:
Three to four years. If an existing non-PFAS alternative is available, does not require infrastructure alterations, and demonstrates adequate performance for a specific application, then it typically takes three to four years to conduct the trial testing and implement the alternative into HVM.
Three to 10-plus years. In some applications, an existing non-PFAS alternative may be viable, but requires tooling and/or process changes before its successful introduction into HVM. In these cases, it may take between three and 10-plus years to introduce changes to the SMRE and/or processes, perform qualification testing, and implement the non-PFAS alternative into HVM.
Five to 25-plus years - successful invention required. For some applications, it may not be possible to demonstrate that an available non-PFAS alternative can fulfill the application-specific performance requirements. In those cases, it may be necessary to invent and synthesize new chemicals, and/or develop alternative approaches to fabricating a device structure that provides the necessary electrical and computational performance. Invention is an open-ended endeavor, with no guarantee of success.
No alternative achievable. In some cases, a non-PFAS alternative may not be capable of providing the required chemical function.
12.0 EHS Controls 12.1 Occupational Exposure Control Strategy The design and operation of fabs to stringent building codes and EHS clean-room standards, in combination with strict EHS controls managed by on-site industrial hygienists and engineers, greatly minimizes the opportunity for workers to be exposed to chemicals, including PFAS-containing materials.
There are several fundamental features of a semiconductor clean room and fab environment that protect employees:
The isolation of chemicals from the manufacturing floor space by locating chemical supply, airhandling and other ancillary systems outside of manufacturing areas, either by using support spaces located below the clean room (subfab and utility levels), outside the clean-room space (bay and chase-type design), or in specifically designed bulk chemical storage and dispensing areas.
The provision of 10-plus air changes per hour (1 cfm/ft2 of outside air to the space, with a typical minimum of total recirculated air of 20 cfm/ft2), whereby fab air recirculates through high-efficiency filters with a time constant on the order of seconds, replaced entirely with fresh outdoor air in a time constant on the order of minutes.
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved.
24
Robotic process automation provides a high level of automation, including enclosed process tools and robotics, that inherently isolates workers from chemicals. Throughout the manufacturing process, manufacturing tools shuttle wafers in enclosed FOUPs. Robots typically transfer wafers from the FOUP into the interior of a tool, where they are processed and further handled robotically.
The use of manufacturing tools built to Semiconductor Equipment and Materials Institute (SEMI) safety guidelines and certified to maintain chemical concentrations below defined occupational exposure threshold levels during normal operation and maintenance procedures and in the event of a tool failure.
Where there is a potential risk of exposure to chemicals, qualified EHS staff design any manual work tasks to minimize contact with chemicals and select personal protective equipment (PPE) for workers that are suitable for the task. Employees who work with chemicals will have extensive chemical safety training, with annual refreshers.
12.2 SEMI Safety Guidelines for Tool Design Most semiconductor manufacturers have a company requirement to purchase semiconductor manufacturing tools designed and certified to comply with SEMI safety guidelines; for a complete list of these safety guidelines, see Appendix C. SEMI safety guidelines cover many aspects of manufacturing tool standardization and design conventions that have an enabled a fungible supply of immensely complex and specialized manufacturing tools for installation in fabs across the world.
In particular, the SEMI S2 safety guideline addresses design and performance standards for assuring the isolation or protection of clean-room workers from the chemicals used in semiconductor manufacturing tools. The SEMI S2 safety guideline distinguishes between the concentration of a chemical in the general ambient air surrounding a semiconductor manufacturing tool and the concentration within a "worst-case" PBZ. The SEMI S2 safety guideline also differentiates between three states of tool operation:
SEMI S2, 23.5.1 states that there should be no chemical emissions to the workplace environment during normal equipment operation. Measurements that show the air concentration to be less than 1% of the occupational exposure limit (OEL) in the worst-case PBZ demonstrate conformance to this requirement.
SEMI S2, 23.5.2 states that chemical emissions during maintenance activities should be minimized. Measurements that show a concentration in the anticipated worst-case PBZ during maintenance activities as less than 25% of the OEL demonstrate conformance to this requirement.
SEMI S2, 25.5.3 states that chemical emissions during equipment failure should be minimized. Measurements that show a concentration in the anticipated worst-case PBZ during a realistic worstcase system failure as less than 25% of the OEL demonstrate conformance to this requirement.
A third-party engineering company typically demonstrates conformance with SEMI safety guidelines on new models of manufacturing tools. Performance testing of chemical isolation relative to OEL entails the direct measurement of a chemical of concern under operating conditions, or by using a tracer test with a benign tracer gas. At present, there are no U.S. Occupational Safety and Health Administration, U.S. EPA or other published regulatory standards that address vapor-phase PFAS-containing materials.
The third-party engineering company documents their evaluations (which typically also include process hazard evaluations) in a comprehensive, several-hundred-page report. Since these reports contain extensive detail on the design and operating characteristics of highly proprietary manufacturing tools, the test reports are usually classified as confidential business information (CBI). The tool supplier only shares the test reports with direct customers under the protection of nondisclosure agreements.
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved.
25
12.3 Safety Procedures and On-Site Industrial Hygiene Staff Semiconductor fabs have on-site industrial hygienists, safety specialists and environmental engineers who review and approve chemicals before their purchase and ensure the existence of process-specific safety procedures. In addition, EHS professionals develop and provide chemical and safety training, and review and approve all new tool and chemical infrastructure installations before startup.
When a manual task includes a potential risk of exposure to chemicals, EHS staff reviews those work tasks and designs work procedures to minimize contact with chemicals. Where appropriate, employees wear PPE selected for the task by qualified EHS staff. Employees who work with chemicals will have extensive chemical safety and PPE training, with annual refreshers. The availability of on-site EHS staff gives workers an ongoing opportunity for consultation with qualified experts.
12.4 Qualitative and Quantitative Chemical Risk Assessments During a typical chemical review process, industrial hygienists perform qualitative and quantitative risk assessments. A qualitative hazard identification or risk assessment can help risk managers set priorities and make policy decisions to allocate resources to sampling. Many factors influence the decision to conduct a qualitative versus a quantitative risk assessment. In the case of PFAS use in the semiconductor industry, the very small quantities within chemical mixtures located in highly controlled equipment with locally exhausted ventilation essentially make exposure to PFAS by inhalation virtually nonexistent.
12.4.1 SEMATECH PFOS Industrial Hygiene Monitoring in and Around Track Tools The results of PFOS measurements conducted during industrial hygiene sampling in a semiconductor fab by SEMATECH in 2005 provide the best-known available validation of the high level of protection against PFAS exposure provided by fab manufacturing tool design and operation.
The use of PFOS has long since been eliminated from semiconductor fabs. But in 2005, SEMATECH's study assured that there were appropriately protective designs and procedures in place to protect workers from exposure during the evaluation and implementation period of PFOS alternatives.
The SEMATECH study involved the collection of a total of nine air samples from within and around photolithography track tools that were known to be using PFOS-containing photoresists at the time of collection. Industrial hygienists collected the samples over a five-day period between May 21 and May 25, 2005, using established industrial hygiene sampling protocols and available analytical methods (Kaiser, et al. 2005); (Reagen, et al. 2004).
The results of all samples were less than the method detection limits, which ranged from <160 ng/m3 to <800 ng/m3 in air, as listed in Table 2. The range in detection limits is attributable to the location-specific sample volumes, which varied with the duration of the sample collection (Reagen, et al. 2004). At the time, the OEL recommended by a PFOS formulator was 100,000 ng/m3.
The SEMATECH report also summarized the results of industrial hygiene samples collected from at least nine fabs across four semiconductor manufacturing companies. PFOS measurements were all below the method detection limits and were therefore two to three orders of magnitude (100 to 1,000 times) below the OEL of 100,000 ng/m3 recommended by the PFOS supplier at the time.
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved.
26
Table 2: PFOS concentrations measured in air by SEMATECH in 2005.
The American Conference for Governmental Industrial Hygienists (ACGIH) has established threshold limit values (TLVs) for three PFAS chemicals in air: perfluoroisobutylene (a short-term exposure limit of 0.01 ppm), perfluorobutyl ethylene (a 100-ppm time-weighted average) and ammonium perfluorooctanoate - a salt of PFOA (a 0.01-mg/m3 time-weighted average).
12.4.2 NIOSH Research on PFAS The industry is monitoring the development of scientific and regulatory information on the potential occupational toxicity of fluorinated organic compounds. The National Institute for Occupational Safety and Health (NIOSH) reports that their future research will continue building industry knowledge about potential exposure routes and advance practices to reduce the prevalence of PFAS exposure. The industry welcomes these developments.
12.4.3 Environmental Controls The industry has an extensive track record on implementing strict controls on environmental releases including wastewater treatment, air emissions abatement technologies and waste management. The semiconductor industry is actively working to assess, test and implement process controls where they may be needed to reduce PFAS releases to the extent that they may occur. The industry is also sponsoring an extensive amount of university research on PFAS alternatives, emissions abatement, wastewater treatment and waste destruction, as summarized in the following sections.
12.4.4 Wastewater Discharges and Treatment Semiconductor fabs typically have complex wastewater drain systems that carry process-specific wastewater through pre-treatment, equalization and neutralization steps before merging into a combined facility effluent. Segregated wastewater drain and treatment systems include those for certain metals, fluoride, certain acids and chemical-mechanical planarization (CMP) processes, but vary according to the fab design and applicability of local and federal pre-treatment requirements.
Typical pre-treatment requirements for the semiconductor industry include the removal of fluoride, ammonia, copper and other plating metals; solids and dissolved solids removal; and pH adjustments. To the extent that PFAS-containing materials are present in fab wastewater systems, the fate of PFAScontaining materials depends on their particular type, and the type of treatment processes used on PFAS-
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved.
27
containing wastewater. PFAS-containing materials have been detected in the wastewater of typical fabs (Jacob, Barzen-Hanson and Helbling 2021).
If it is necessary to remove PFAS-containing materials from a fab's wastewater, they should ideally be intercepted and/or treated close to their source, where flows are typically lower and concentrations higher. Typical fab effluents can be on the order of 12,000 m3/day to 23,000 m3/day, and removing low concentrations of PFAS-containing materials from a final effluent discharge point that operates at a high flow will be very expensive and, in some cases, infeasible.
It is not likely that the conventional precipitation-coagulation-clarification treatment processes typically used to remove dissolved metals and fluoride from on-site fab wastewater systems would exert a high removal efficiency for soluble PFAS-containing materials. The partitioning of some PFAS-containing materials to waste solids may be possible and could represent a relevant vector for PFAS migration in treatment processes.
Similarly, it is unlikely that microfiltration processes can remove significant amounts of soluble PFAScontaining materials. Nanofiltration (NF) and reverse osmosis (RO) membranes could potentially remove a significant fraction of long-chain PFAS and lesser amounts of short-chain PFAS into membrane concentrate streams (Boo, et al. 2018); (Jin, Peydayesh and Mezzenga 2021); (Tow, et al. 2021).
There are two types of treatment process technologies for removing PFAS-containing materials: technologies that remove or separate PFAS constituents from wastewater, and technologies that destroy the PFAS-containing material. A treatment process such as granular activated carbon (GAC), for instance, can remove PFAS-containing materials from wastewater, but following the completion of the sorption cycle, the used GAC itself becomes waste.
Table 3 summarizes the principal separation and destruction technologies currently in use or under development for treating PFAS-containing wastewater. The principal, commercially available technologies applicable to the removal of PFAS-containing materials from wastewater are adsorption onto GAC, anion exchange (AIX) and membrane filtration (Crone, et al. 2019). The capacity of GAC to remove a given PFAS-containing material is typically expressed in terms of the milligrams of PFAS per kilogram of GAC and varies considerably depending on the particular type of PFAS-containing material. AIX can be an effective way to remove anionic PFAS-containing materials from water. AIX typically has a higher sorption capacity than GAC, and some similar (but not quite as strong) constraints regarding a preference for longer- over shorter-chain PFAS-containing materials, as well as a preference for sulfonic acid vs. carboxylate groups (Franke, et al. 2021). High-pressure membrane techniques like RO and NF (as illustrated in Figure 12) can be an effective way to separate PFAS-containing materials from an aqueous waste stream and concentrate it for further treatment (Lee, Speth and Nadagouda 2022); (Liu and Strathmann 2021); (Liu, et al. 2022). RO, in particular, can serve as a near-absolute barrier to most typical PFAS-containing materials.
Figure 12: A two-stage nanofiltration system (Franke, et al. 2021).
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved.
28
The semiconductor industry is funding university research to study a number of different types of PFAS treatment technologies. The right-hand column in Table 3 lists universities that are either receiving funding from the SRC or companies that are SRC members. In general, the state of technology for PFAS wastewater treatment is immature, with significant improvements needed to increase the number and types of PFAS-containing materials that can be removed cost-effectively from wastewater. Existing separation and destruction methods are generally best suited to the removal of longer-chain perfluoroalkyl acids, with more difficulty removing shorter-chain and/or neutral PFAS-containing materials (Murray, et al. 2021); (Liu and Strathmann 2021); (Liu, et al. 2022).
There are numerous methods to destroy PFAS-containing materials under evaluation, with new technologies and refinements of existing technologies reported in literature virtually every day. The bottom half of Table 3 lists several of the most promising PFAS destruction technologies under development. Generally, reduction, plasma and electrochemical methods appear to be more effective than oxidation methods, which face thermodynamic barriers (Vecitis, et al. 2008); (Bentel, et al. 2020). Alkaline hydrolysis and supercritical water oxidation (SCWO) are high-temperature, high-pressure processes that aim to mineralize PFAS-containing materials and show promising results.
Table 3: Summary of PFAS wastewater treatment methods.
When evaluating PFAS destruction technologies, it is important to measure the extent of mineralization (conversion to carbon dioxide plus fluoride ion or hydrogen fluoride gas) and the extent to which potentially harmful byproducts are generated. Often, the removal efficiencies reported for targeted parent compounds are much higher than the overall defluorination (Wang, et al. 2022).
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved.
29
12.4.5 PFAS Waste Disposal and Destruction by Incineration PFAS-containing materials are present in some semiconductor manufacturing solvent wastes. Residual photoresists and anti-reflective coatings, for instance, are largely captured directly at the photolithography tool dispensing step and shipped for off-site disposal.
Organic waste (including PFAS organic liquids) is typically segregated, collected, and containerized for off-site treatment, and disposed of as a blended fuel through high-temperature incineration or reprocessing. Often regulated because of its flammability (rather than the presence of PFAS-containing materials), liquid organic waste that cannot be treated on-site is collected and shipped off-site to a licensed treatment and disposal facility. These facilities will either reclaim solvent constituents, manage the solvent for energy recovery as fuel for cement kilns, or incinerate the hazardous waste.
There are currently no published PFAS-specific regulations or regulatory guidance governing the design, operation or monitoring of commercial waste incinerators used to destroy PFAS waste. The U.S. EPA has indicated that it is working to establish such guidance in late 2023. A number of technical papers describe laboratory or simulation studies that address the temperature at which certain PFAS-containing materials decompose, and some of the fluorinated decomposition products that form, but these papers generally lack specific information regarding the temperature, residence time, and other process and design variables directly applicable to commercial incineration (Wang, et al. 2022); (U.S. EPA 2020). Based on calculated bond energies, for instance, the most difficult fluorinated organic compound to decompose is CF4, which requires temperatures over 1,400C for effective destruction (Tsang, Burgess Jr. and Babushok 1998).
A typical commercial hazardous waste incinerator in the U.S. is a rotary kiln with a primary combustion chamber that has solid retention times of 0.5 to 1.5 hours and gas residence times around 2 seconds, with kiln flame temperatures from 650C to 1,650C (U.S. EPA 2020). The rotary kiln is typically followed by an afterburner that operates at a temperature between 1,100C and 1,370C, with a gas phase residence time of 1 to 3 seconds (U.S. EPA 2020).
In some cases, hazardous waste is burned in cement kilns, where temperatures may rise above 1,650C with gas phase residence times on the order of 4 to 16 seconds, depending on the design (U.S. EPA 2020). In addition to operating at high temperatures and having long gas phase residence times, cement kilns have the additional advantage of providing a caustic environment for halogen reaction and acid neutralization (U.S. EPA 2020).
Based on the high temperatures and long gas phase residence times reported for the kiln-type incinerators commonly used to destroy hazardous waste, PFAS destruction or removal efficiency (DRE) should be very good.
12.4.6 Air Emissions Control and Abatement Fab exhaust systems are designed to remove chemical vapors or gases and heat from manufacturing tool exhausts. PFCs and HFCs are essential for plasma etching, plasma cleaning and other low-volume applications, as their uses balance the process requirement for high chemical and ion reactivity with the need for safe and effective manufacturing.
The chemistries used in photolithography have a relatively low vapor pressure, and the industry does not anticipate emissions from photolithography. The industry's commitment to reduce GHGs has successfully reduced PFC and HFC emissions through a combination of process optimization, substitution and abatement. Point-of-use (POU) technologies on many tools using PFCs and HFCs have reduced the potential exposure risk to employees, as well as reducing GHG emissions.
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved.
30
The F-HTFs used in chillers and test equipment are contained with intent to minimize release during use. Before any maintenance activities, qualified workers drain F-HTFs contained in equipment into collection containers that are managed for direct use or reclamation at the F-HTF supplier. If any of the F-HTF fluid requires management as waste, certified waste management facilities destroy the fluid by incineration.
There are four general categories of exhaust systems (Li, et al. 2021); (Sherer 2018):
General exhaust is a centralized exhaust system consisting of air from exhausted enclosures, typically uncontaminated with hazardous or toxic chemicals and heat exhaust. General exhaust does not require abatement.
Acid and alkali (corrosive) exhaust, the highest-volume exhaust stream, is primarily generated from the use or generation of acid or alkali gases within etch, deposition and cleaning processes. Chlorine, fluorine, fluorinated GHG and hydrides are components of acid exhaust. Alkali exhaust is usually segregated from acid exhaust in order to prevent the clogging and formation of submicron particles, and to treat the exhaust more effectively. Acid and alkali exhaust treatments often occur in centralized pH-controlled packed-bed wet scrubbers.
Organic exhaust is primarily generated from the use or generation of volatile organic compounds (VOCs) within photolithography and organic cleaning processes.
Organic solvent exhaust typically has a high volume and a low concentration, which often leads to a concentrated exhaust stream before treatment with centralized thermal, catalytic or plasma oxidizers.
Certain SMRE requires the installation of POU abatement devices to remove contaminants before their discharge to centralized exhaust systems. POU abatement devices prevent the clogging of exhaust lines and thus increase process uptimes; they also prevent fires, explosions and corrosion, and protect workers and the environment.
The main components of any exhaust collection and distribution system consist of ductwork, fans, pumps and possibly treatment technologies. The materials used to construct exhaust systems in fab and assembly test manufacturing facilities must be chemically resistant, in order to prevent corrosion and release of the exhaust. Ethylene chlorotrifluoroethylene (ECTFE)- or polytetrafluoroethylene (PTFE)-lined stainlesssteel ductwork helps ensure safe conditions within fab and assembly, test and packaging operations, especially in high-heat, chemically corrosive or chemically unstable environments.
POU abatement systems have evolved over time to meet safety, environmental and risk-reduction targets. They facilitate effective and safe treatment for pyrophoric, toxic, flammable and corrosive gases. Researchers, suppliers and semiconductor manufacturers have undertaken extensive efforts to develop and improve fluorinated GHG abatement technologies over the last 30 years (see Figure 13). Abatement technologies including combustion with a wet scrubber, electrical heating with a wet scrubber, chemisorption/adsorber and plasma have been shown to remove PFCs and other fluorinated GHGs from process exhaust (Beu 2019).
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved.
31
Figure 13: Semiconductor industry fluorinated GHG abatement development timeline.
For additional information about fluorinated GHG abatement, see the Semiconductor PFAS Consortium white paper, "PFAS-Containing Fluorochemicals Used in Semiconductor Manufacturing Plasma-Enabled Etch and Deposition."
13.0 R&D Needs The fluorinated organic molecule possesses numerous attributes that provide unique functionality across a wide spectrum of applications. In many situations, it is not just one particular attribute (like low surface tension) that makes a fluorinated organic chemical effective for an application, but the combination of several attributes that enable fluorinated organics to satisfy multiple, overlapping performance requirements.
For many PFAS-containing materials, there is an absence of basic validated physicochemical data, which makes it virtually impossible to determine their toxicity and environmental fate and transport. Given their potential persistence, bioaccumulation and toxicity, regulators and policymakers both in the U.S. and globally are taking actions to mitigate the potential impacts of these chemicals.
A growing number of jurisdictions are addressing or proposing the categorization of PFAS as an entire class. To maintain industry growth and avoid regrettable substitutions, it is imperative to:
Fund and conduct research to understand basic physicochemical data to better model the potential environmental and human health risks associated with these chemistries, and to identify alternative chemistries where possible that are more environmentally preferable.
Develop recycling, treatment and abatement technologies to prevent environmental releases for uses with no known alternatives at this time.
Further, given the multiple properties that PFAS-containing materials instill, it is more likely that there will be a combination of application-specific solutions rather than a universal replacement.
It is recommended that the semiconductor industry, academicians, national labs and suppliers collaborate on semiconductor PFAS-focused R&D efforts to:
Advance the development of analytical methods to measure and control PFAS-containing materials in the work environment, wastewater and air emissions streams.
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved.
32
Develop a higher level of knowledge regarding the environmental behavior and toxicity of PFAScontaining materials, their potential degradation products, and potential alternatives, using the data to: o Develop and validate predictive toxicology, environmental fate and behavior tools for PFAScontaining materials and potential PFAS alternatives for use in semiconductor manufacturing. o Improve knowledge of the factors that cause PFAS-containing materials to be toxic, which will aid the development and identification of potentially more benign substitutes.
Quantify and improve technology that minimizes potential environmental releases. Quantify and validate the efficacy of workplace exposure controls. Optimize processes to reduce or reuse materials where possible in support of a circular economy. Develop, design, test and validate PFAS destruction technologies. Identify opportunities for process enhancements that reduce the total use of fluorinated gases. Develop HFC and PFC abatement options for POU or house (end-of-pipe) abatement of exhaust
emissions that can achieve higher DREs while minimizing the generation of other regulated emissions. Promote development of additional reuse and recycling options for PFAS-containing liquid waste streams. Evaluate options to facilitate the recovery and reuse of articles containing PFAS. Evaluate alternative plasma etch/wafer clean gases and processes that are effective, safe and have a lower GWP than fluorinated gases. Develop low-temperature, high-efficiency processes and look for materials that can work at lower temperatures (bottom-up processes such as directed self-assembly) to help facilitate the transition away from F-HTFs. Identify and characterize process performance and EHS characteristics of more benign nonfluorinated alternatives such as: o PFAS-free anions in PAGs capable of making strong acids. o PFAS-free anti-reflective coatings. o PFAS-free immersion barriers. o PFAS-free surfactants. o PFAS-free lubricants. o PFAS-free HTFs with a lower GWP used in chillers to control wafer temperatures during
manufacturing processes or device testing. o PFAS-free ligands for metal-organic CVD precursors. Identify and evaluate: o Fluoropolymer alternatives for use in SMRE and facilities infrastructures. o The potential of additive processing techniques. o Non-PFAS semiconductor assembly, test and packaging materials that can perform at sustained
high temperatures, low temperatures, wide thermal excursions and under large thermomechanical loads.
13.0 Conclusions PFAS chemicals contain two or more fluorine atoms bonded to a carbon or hydrocarbon backbone. Their carbon-fluorine bonds and structure give them unique physical and chemical properties, such as repelling both water and oils, remaining stable over a wide temperature range, and having low coefficients of friction, making them useful in many industrial and consumer applications, including semiconductor manufacturing. However, many of these same properties make PFAS-containing materials resistant to decomposition when released to the environment, which has led them to be called "forever chemicals."
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved.
33
Semiconductors are essential components of electronic devices and are integral to modern society. According to the World Economic Forum, semiconductor-enabled technologies such as digital technologies can reduce GHG emissions by 15% - almost one-third of the 50% reduction required by 2039 (World Economic Forum 2019).
Manufacturers and suppliers have identified seven areas where PFAS chemistry supports advanced semiconductor manufacturing: photolithography, wet chemistry, HFC and PFC gases, HTFs, chip packaging, fabrication tools and associated fixtures, and lubricants.
Modern semiconductor manufacturing fabs consist of thousands of tools, and each of these perform a specific process operation to construct and assemble a chip wafer. Fabs have strict requirements to maintain clean-room environments to capture and remove contaminants such as dust, and to control humidity and chemistry. In many applications, PFAS-containing materials are the only chemicals known to provide the required physical and chemical properties for advanced semiconductor manufacturing.
The industry has strict controls to minimize working exposure to chemicals, including PFAS-containing materials used in the chip manufacturing process. Some materials are sent off-site for treatment, such as incineration, or disposed of in regulated solid waste disposal facilities. Fabs also have wastewater pretreatment or treatment systems before discharge.
The semiconductor industry continues actively identifying, testing and implementing improved process controls that minimize releases to the environment, including PFAS-containing materials. The industry is also researching prospective PFAS substitutes, which is expensive and time-consuming. The identification and validation of alternatives is a lengthy process that entails identifying potential substitutes, evaluating their potential human and environmental risks, conducting laboratory and pilot tests, designing and retooling equipment, conducting verification tests, and finally implementing a substitution.
The semiconductor industry recognizes the need for and is undertaking additional R&D to:
Characterize the human health and environmental risks associated with PFAS-containing materials used in the industry.
Develop analytical methods to characterize PFAS-containing materials. Evaluate PFAS releases to air and/or water. Identify, test and implement substitutes to either eliminate PFAS-containing materials, or substitute
PFAS-containing materials with those having lower human health or environmental risks. Evaluate and test abatement technologies to capture or destroy PFAS-containing materials before
their release to the environment.
Based on the consortium's findings and as documented in each of the accompanying white papers, until the industry can identify, test and qualify suitable substitutes, PFAS-containing materials are essential to semiconductor manufacturing operations and equipment.
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved.
34
14.0 References Alam, Syed, Timothy Chu, Shrikant Lohokare, Shungo Saito, and McKinley Baker. 2020. Globality and
Complexity of the Semiconductor Ecosystem. https://www.accenture.com/_acnmedia/PDF119/Accenture-Globality-Semiconductor-Industry.pdf.
Bentel, Michael J, Yaochun Yu, Lihua Xu, Hyuna Kwon, Zhong Li, Bryan M Wong, Yujie Men, and Jinyong Liu. 2020. "Degradation of Perfluoroalkyl Ether Carboxylic Acids with Hydrated Electrons: Structure-Reactivity Relationships and Environmental Implications." Environmental Science and Technology 2489-2499.
Beu, L. 2019. "Case Study of Semiconductor Industry Collaboration to Address EHS Challenges." SRC/ERC Annual Review. Semiconductor Research Corporation.
Binus University Faculty of Engineering. 2022. Nvidia's Next GPU Shows That Transformers Are Transforming AI. May 23. https://comp-eng.binus.ac.id/2022/05/23/nvidias-next-gpu-shows-thattransformers-are-transforming-ai/?utm_source=binustoday&utm_campaign=binustodayarticleview.
Biswas, B., and P.C. Singh. 2020. "The role of fluorocarbon group in the hydrogen bond network, photophysical and solvation dynamics of fluorinated molecules." Journal of Flourine Chemistry 109414.
Boo, C., Y. Wang, I. Zucker, Y. Choo, C.O. Osuji, and M. Elimelech. 2018. "High Performance Nanofiltration Membrane for Effective Removal of Perfluoroalkyl Substances at High Water Recovery." Environmental Science and Technology 7279-7288.
Buck, R.C., J. Franklin, U. Berger, J. Conder, I. Cousins, P. de Voogt, A. Jensen, K. Kannan, S. Mabury, and S. van Leeuwen. 2011. "Perfluoroalkyl and polyfluoroalkyl substances in the environment: Terminology, classification, and origins." Integrated Environmental Assessment and Management 513-541.
Buck, R.C., P.M. Murphy, and M. Pabon. 2012. "Chemistry, properties, and uses of commercial fluorinated surfactants." Polyfluorinated chemicals and transformation products 1-24.
Clark, Don. 2021. "The Tech Cold War's `Most Complicated Machine' That's Out of China's Reach." New York Times, July 07.
Council, World Semiconductor. 2011. "Joint Statement of the 15th Meeting of the World Semiconductor Council." Fukoka, 7, 16-23.
Cousins, Ian T, Gretta Goldenman, Dorte Herzke, Rainer Lohmann, Mark Miller, Carla A Ng, Sharyle Patton, et al. 2019. "The concept of essential use for determining when uses of PFASs can be phased out." Environmental Science: Processes & Impacts 21 (11): 1803-1815.
CPS an Exyte Group Company. 2019. Semiconductor Solutions Overview. https://www.cpsgrp.com/semiconductor-manufacturing-solutions.php.
Crone, B.C., T.F. Speth, D.G. Wahman, S.J. Smith, G. Abulikemu, E.J. Kleiner, and J.G., Pressman. 2019. "Occurrence of per-and polyfluoroalkyl substances (PFAS) in source water and their treatment in drinking water." Critical Reviews in Environmental Science and Technology 2359-2396.
De Backer, Koen, R.J. Huang, Mantana Lertchaitawee, Mateo Mancini, and Choon Tan. 2018. "Taking the Next Leap Forward in Semiconductor Yield Improvement." Mckinsey & Company. May 2.
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved.
35
https://www.mckinsey.com/industries/semiconductors/our-insights/taking-the-next-leap-forward-insemiconductor-yield-improvement.
Fishman, Charles. 2019. How NASA gave birth to modern computing--and gets no credit for it. https://www.fastcompany.com/90362753/how-nasa-gave-birth-to-modern-computing-and-gets-nocredit-for-it.
FM Global. 2019. "7-7, Semiconductor Fabrication Facilities, Property Loss Prevention Data Sheets." FM Global. October. Accessed 3 27, 2023. https://www.fmglobal.com/search-results?query=7-7.
Franke, V., M. Ullberg, P. McCleaf, M. Walinder, S.J. Kohler, and L. Aherns. 2021. "The Price of Really Clean Water: Combining Nanofiltration with Granular Activated Carbon and Anion Exchange Resins for the Removal of Per- And Polyfluoralkyl Substances (PFASs) in Drinking Water Production." ACS ES&T Water.
Gartenberg, Chaim. 2021. Intel thinks the metaverse will need a thousand-fold increase in computing capability. December 15. https://www.theverge.com/2021/12/15/22836401/intel-metaversecomputing-capability-cpu-gpu-algorithms.
Han, J., L. Kiss, H. Mei, A.M. Remete, M. Ponikvar-Svet, D.M. Sedgwick, R. Roman, S. Fustero, H. Moriwaki, and V.A. Soloshonok. 2021. "Chemical aspects of human and environmental overload with fluorine." Chemical Reviews 4678-4742.
Howells, R.D., and J.D. McCown. 1977. "Trifluoromethanesulfonic acid and derivatives." Chemical Reviews 69-92.
IEEE, Institute of Electrical and Electronics Engineers. 2022. "International Roadmap for Devices and Systems." IEEE. https://irds.ieee.org/editions/2022.
IMEC, Interuniversity Microelectronics Centre. 2023. High-NA EUV lithography: the next major step forward. https://www.imec-int.com/en/articles/high-na-euvl-next-major-step-lithography.
Intel. 2020. Travel Intel's Autonomous Superhighway. July 13. https://www.intel.com/content/www/us/en/newsroom/news/travel-intels-autonomoussuperhighway.html?wapkw=superhighway#gs.ughteo.
Jacob, P., K.A. Barzen-Hanson, and D.E. Helbling. 2021. "Target and nontarget analysis of per-and polyfluoralkyl substances in wastewater from electronics fabrication facilities." Environmental Science & Technology 2346-2356.
Jin, T., M. Peydayesh, and R. Mezzenga. 2021. "Membrane-based technologies for per-and poly-fluoroalkyl substances (PFASs) removal from water: Removal mechanisms, applications, challenges and perspectives." Environment International 106876.
Kaiser, M, B: Dawson, B.J. Larsen, K Kurtz, R. Lieckfield Jr., J. Miller, and J. Flaherty. 2005. "Method for the Determination of Perfluorooctanoic Acid in Air Samples Using Liquid Chromatography with Mass Spectrometry." Occupational and Environmental Hygiene.
Kirsch, Peer. 2013. Modern Fluoroorganic Chemistry, 2nd ed. . Hoboken: Wiley-VCH.
Kovalchuk, N M, A Trybala, V Starov, O Matar, and N Ivanova. 2014. "Fluoro- vs hydrocarbon surfactants: Why do they differ in wetting performance?" Advanced Colloid Interface Science 65-71.
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved.
36
Krafft, M.P., and J.G. Riess. 2009. "Chemistry, physical chemistry, and uses of molecular fluorocarbon- hydrocarbon diblocks, triblocks, and related compounds unique "apolar" components for selfassembled colloid and interface engineering." Chemical Reviews 1714-1792.
Krafft, M.P., and J.G. Riess. 2015. "Selected physicochemical aspects of poly and perfluoroalkylated substances relevant to performances, environment and sustainability--part one." Chemosphere 4-19.
Lee, Tae, Thomas F Speth, and Mallikarjuna N Nadagouda. 2022. "High-pressure Membrane Filtration Processes for separation of Per-and polyfluoroalkyl substances (PFAS)." Chemical Engineering 134023.
Lemal, D.M. 2004. "Perspective on fluorocarbon chemistry." Journal of Organic Chemistry 1-11.
Levi, Alessandro. 2021. Prime Movers Lab. October 5. https://medium.com/prime-movers-lab/is-this-themost-complex-machine-in-the-world-ef9f6f0e3d87.
Li, Y., Y. Zhu, Y. Hao, P. Xiao, Z. Dong, and X. Li. 2021. ", Practical Reviews of Exhaust Systems Operation, IOP Conference Series Earth and Environmental Science." IOP Conference Series: Earth and Environmental Science. IOP Publishing.
Liang, T., C.N. Neumann, and T. Ritter. 2013. "Introduction of fluorine and fluorine-containing functional groups." Angewandte Chemie International Edition 8214-8264.
Liu, C., X. Zhao, A.F. Faria, K.Y.D. Quiones, C. Zhang, Q. He, J. Ma, Y. Shen, and Y. Zhi. 2022. "Evaluating the Efficiency of Nanofiltration and Reverse Osmosis Membrane Processes for the Removal of Per-and Polyfluoroalkyl Substances from Water: a Critical Review." Separation and Purification Technology 122161.
Liu, C.J., and T.J. Strathmann. 2021. "Rejection of per- and polyfluoroalkyl substances (PFASs) in aqueous film-forming foam by high-pressure membranes." Water Resources 188.
Micron Technology Inc. 2022. Micron's 232-Layer NAND. https://media-www.micron.com//media/client/global/images/in_line-images/products/nand-flash/gcm-1019003-infographic-232l-nand1500x890px-v2.jpg?la=en&rev=f4bafb4f11434076ae3cab4c3af7e7d2.
Moore, Gordon. 1965. "Cramming More Components onto Integrated Circuits." Electronics Magazine.
Mukerjee, Pasupati. 1994. "Fluorocarbon--hydrocarbon interactions in micelles and other lipid assemblies, at interfaces, and in solutions." Colloids and Surfaces A: Physicochemical and Engineering Aspects 110.
Murray, C.C., R.E. Marshall, C.J. Liu, H. Vatankhah, and C.L. Bellona. 2021. " PFAS treatment with granular activated carbon and ion exchange resin: Comparing chain length, empty bed contact time, and cost." Journal of Water Porcess Engineering 44 (December 2021): 102342.
O'Hagan, D. 2008. "Understanding organofluorine chemistry. An introduction to the C-F bond." Chemical Society Reviews 308-319.
Ober, Christopher K, Florian Kafer, and Jingyuan Deng. 2022. "Review of essential use of fluorochemicals in lithographic patterning and semiconductor processing." Journal of Micro/Nanopattering, Materials, and Metrology 21 (1).
OECD, Organisation for Economic Co-operation and Development. 2015. "Emission Scenario Document on Chemical Vapor Deposition in the Semiconductor Industry - ENV/JM/MONO(2015)5." One.OECD. https://one.oecd.org/document/ENV/JM/MONO(2015)5/en/pdf.
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved.
37
--. 2004. "Emission Scenario Document on Photoresist use in semiconductor manufacturing ENV/JM/MONO(2004)14/REV1." OECD iLibrary. https://www.oecdilibrary.org/docserver/9789264221161en.pdf?expires=1680620848&id=id&accname=guest&checksum=3C7F42ED6A1FE7D2863A7A6A8 8E2E116.
--. 2010. "OECD Emission Scenario Document Chemicals Used in the Electronics Industry ENV/JM/MONO(2010)37." OECD iLibrary. July 10. https://www.oecdilibrary.org/docserver/9789264221062en.pdf?expires=1680633609&id=id&accname=guest&checksum=16B05CBA5A19EC614AD6C0E82 5AD8C09.
--. 2010. "OECD Environment, Health and Safety Publications Series on Emission Scenario Documents No. 9: Emission Scenario Document on Photoresist Use in Semiconductor Manufacturing." OECD. https://one.oecd.org/document/env/jm/mono(2004)14/rev1/en/pdf.
OECD, Organisation for Economic Co-operation and Development. 2021. Reconciling Terminology of the Universe of Per- and Polyfluoroalkyl Substances: Recommendations and Practical Guidance. OECD Series on Risk Management.
OECD, Organisation for Economic Co-operation and Development. 2018. Toward a New Comprehensive Global Database of Per- and Polyfuloroalkyl Substances (PFASs). OECD Series on Risk Management.
Olah, G.A., G.S. Prakash, J. Sommer, and A. Molnar. 2009. Superacid chemistry, 2nd ed. Hoboken: Wiley.
PFAS Consortium. 2023. The Impact of a Potential PFAS Restriction on the Semiconductor Sector. RINA Tech UK Limited.
Reagen, W.K., K.R Lindstrom, K.L. Thompson, and J.M. Flaherty. 2004. "Analytical Techniques and Method Validation for the Measurement of Selected Semivolatile and Nonvolatile Organofluorochemicals in Air." Journal of Occupational and Environmental Hygiene 559-569.
Roser, Max, and Hannah Ritchie. 2020. "A logarithmic graph showing the timeline of how transistor counts in microchips are almost doubling every two years from 1970 to 2020; Moore's Law." Our World In Data. November 1. https://ourworldindata.org/uploads/2020/11/Transistor-Count-over-time.png.
Shankland, Stephen. 2023. Apple M2 Pro and Max Chips Repeat a Successful Upgrade Strategy. https://www.cnet.com/tech/computing/apple-m2-pro-and-max-chips-repeat-a-successful-upgradestrategy/.
Sherer, J. Michael. 2018. Semiconductor Industry: Wafer Fab Exhaust Management. Boca Raton: CRC Press.
SIA, Semiconductor Industry Association. 2022. https://www.semiconductors.org/global-semiconductor-salesincrease-3-2-in-2022-despite-second-half-slowdown/.
SRC, Semiconductor Research Corporation. 2022. The Decadal Plan for Semiconductors . https://www.src.org/about/decadal-plan/.
The Center for Security and Emerging Technology. 2019. The Semiconductor Supply Chain: Assessing National Competitiveness.
Tow, E.W., M.S. Ersan, S. Kum, T. Lee, T.F. Speth, C. Owen, C. Bellona, et al. 2021. "Managing and treating per-and polyfluoroalkyl substances (PFAS) in membrane concentrates." AWWA Water Science e1233.
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved.
38
Tsang, W., D. R. Burgess Jr., and V. Babushok. 1998. "On the Incinerability of Highly Fluorinated Organic Compounds." Combustion Science and Technology 385-402.
U.S. EPA, United States Environmental Protection Agency. 2020. "Interim Guidance on Destroying and Disposing of Certain PFAS and PFAS-Containing Materials That Are Not Consumer Products." EPA. December 18. https://www.epa.gov/system/files/documents/2021-11/epa-hq-olem-2020-05270002_content.pdf.
US EPA, United States Environmental Protection Agency. 2023. CompTox Chemicals Dashboard. Februrary 10. https://comptox.epa.gov/dashboard/.
US EPA, United States Environmental Protection Agency. 2021. PFAS Strategic Roadmap: EPA's Commitment to Action 2021-2024. US EPA.
Vecitis, C.D., H. Park, J. Cheng, B.T. Mader, and M.R. Hoffman. 2008. "Kinetics and mechanism of the sonolytic conversion of the aqueous perfluorinated surfactants, perfluorooctanoate (PFOA), and perfluorooctane sulfonate (PFOS) into inorganic products. ." The Journal of Physical Chemistry A 4261-4270.
Wang, J., Z. Lin, X. He, M. Song, P. Westerhoff, K. Doudrick, and D. Hanigan. 2022. "Critical Review of Thermal Decomposition of Per-and Polyfluoroalkyl Substances: Mechanisms and Implications for Thermal Treatment Processes." Environmental Science and Technology 5355-5370.
Watkins, Edward K., and William L. Jorgenson. 2001. ") Perfluoroalkanes: Conformational Analysis and Liquid-State Properties from AB Initio and Monte Carlo Calculations." Journal of Physical Chemistry A 4118-4125.
Wiggers, Kyle. 2022. Apple unveils the A16 Bionic, its most powerful mobile chip yet. https://techcrunch.com/2022/09/07/apple-unveils-new-mobile-chips-including-the-a16-bionic/.
World Economic Forum. 2019. https://www.weforum.org/agenda/2019/01/why-digitalization-is-the-key-toexponential-climate-action/.
WSC, World Semiconductor Council. 1999-2014. http://www.semiconductorcouncil.org/issuesactivities/environment-safety-and-health/.
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved.
39
Appendix A: Glossary of Acronyms and Terms
Terms 3D 5G/6G
ABT ACGIH AI AIX ALD Aluminum etch AMHS ANSI Anti-EBO Antireflective coatings
APM
Aqueous-based ARC ArF Article(s)
Definition three dimensional Fifth- and sixth-generation cellular technology for wireless internet connections and communications. manufacturing equipment abatement American Conference of Governmental Industrial Hygienists artificial intelligence anion exchange
atomic layer deposition
An aqueous mixture that includes phosphoric acid, nitric acid and acetic acid. automated material handling system American National Standards Institute
anti-epoxy bleedout Top- or bottom-surface coatings used to reduce light reflection at surface interfaces to better control line width in photolithography. A mixture of ammonium hydroxide (28 wt %), hydrogen peroxide (30 wt %) and water, also known as SC1. A mixture in which water is the solvent.
anti-reflective coating argon fluoride An object or objects made from one or more substances and mixtures given a special shape, surface or design during production that determines its function to a greater degree than its chemical composition, whether on its own or in an assembly with other articles, substances and mixtures.
Assembly, test and packaging ASTM ATP ATPS AWN Back end of line BARC Barrier layers BCD BEOL Bespoke parts BGA
Also, materials used in the construction of semiconductor processing equipment, support equipment, facilities equipment, and other purchased or produced items containing PFAS. The processing steps necessary to test and attach individual semiconductor devices into chip packages that can then be used in electronic devices. American Society for Testing and Materials assembly, test and packaging assembly, test, packaging and substrate acid waste neutralization Processing to create the interconnect wiring for a device. bottom anti-reflective coating Film between the silicide and metallization layers in an interconnect. bulk chemical delivery back end of line Parts or articles that are made to order or custom fabricated. ball grid array
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved.
40
Terms BHF Bioaccumulation BMS/QA BOE BOM BP Buffered oxide etch C4
CAGR CARs CBI C-C backbone
CD-SEM C-F bond CFCs Chalcogen
Chamber clean
Chemical mechanical planarization slurries Chemical mechanical polishing Chemical vapor deposition Chip Clean room
CMC CMP CMR CNT C-O Bond Coax Component
CTE
Definition
buffered hydrofluoric acid etch
A gradual accumulation of substances or chemicals in an organism.
business management system/quality assurance
buffered oxide etch
bill of materials
boiling point
An aqueous mixture of hydrofluoric acid and ammonium fluoride.
Perfluorinated and polyfluorinated alkyl substances with a chain length of four carbons. C4 can sometimes refer to controlled collapse chip connect, the steps in semiconductor manufacturing between front-end fab manufacturing and assembly test and packaging steps. compound annual growth rate
chemically amplified resists
confidential business information
All organic compounds are made up of carbon-carbon bonds, creating a carbon skeleton or backbone in the compounds. critical dimension-scanning electron microscope
carbon-fluorine bond
chlorofluorocarbons
Any element in group 16 of the periodic table such as oxygen, polonium, sulfur, selenium or tellurium; the latter three are typical chalcogens in a dichalcogenide. A process in chemical vapor deposition that removes deposition residues from chamber walls and other interior surfaces. Abrasive and corrosive chemical slurry (commonly a colloid) used to remove material and even out irregular topography, making the wafer flat or planar. A process that smooths and polishes the surface of a wafer to extreme levels of precision, used during multiple steps of wafer manufacturing. Microfabrication processes used to deposit thin films of materials in various forms, including monocrystalline, polycrystalline, amorphous and epitaxial. The common name for an integrated circuit.
An engineered space that maintains a very low concentration of airborne particulates. critical micellar concentration
chemical-mechanical planarization
carcinogenic, mutagenic and toxic for reproduction substances
carbon nanotube
carbon-oxygen bond
The abbreviated term for a coaxial cable.
An identifiable part that a manufacturer of SMRE or facilities equipment has purchased to produce a more complex assembly. coefficient of thermal expansion
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved.
41
Terms CVD CZ D4, D5, D6 ring Deep ultraviolet Dense feature bias
DEP Deposition DETCH Device
Dichalcogenides Die
Die-attach adhesives Die overcoat Die passivation
Dilute HF(DHF) Drop-in replacement Dry etch
Dry vacuum pump system DSC DUV E-beam EBI EC ECD ECHA
Definition
chemical vapor deposition
The Czochralski crystal growth process (for making silicon ingot that silicon wafers are then cut from). Cyclosiloxanes containing four, five and six silicon atoms in the ring, respectively. The wavelength of light (249 nm and 193 nm) used to produce fine features on semiconductor devices. A critical dimension swing resulting from a shifted resist depth of focus caused by a change in thickness of a lithography film stack as it coats over various pitches, critical dimensions and aspect ratios of substrate topography. deposition equipment
A semiconductor manufacturing step where thin films of materials are added in various forms to the surface of a wafer. dry etch equipment
An electronic component that relies on the electronic properties of a semiconductor material (primarily silicon, germanium and gallium arsenide, as well as organic semiconductors) for its function. Any chalcogenide (a compound that contains a chalcogen and a more electropositive element) that contains two chalcogen atoms per molecule. A single instance of a particular end device produced simultaneously on a wafer; a wafer comprises hundreds of die, and a single die may contain millions of integrated circuits. Adhesive used to mount or bond die to a support structure using an epoxybased adhesive. Protective polymer coating applied to a bare die surface for small form packages. The application of a PFAS anti-stiction material as a microcoating of microelectromechanical system structures so that they become passive (less readily affected by the environment, while also reducing the surface work of adhesion to improve the surface energy properties necessary for actuation). An aqueous mixture containing 0.1% to 0.5% hydrofluoric acid in water.
An alternative substance that that performs in a functionally equivalent way and does not require the modification of existing manufacturing equipment. The removal of a masked pattern of semiconductor material by exposing the material to a bombardment of ions (usually a plasma of reactive gases such as fluorocarbons or oxygen). A vacuum pump system that does not use a liquid sealing system, and is oiland water-free. die-side components
deep ultraviolet
Electron-beam processing, also called electron irradiation.
electron irradiation
European Commission
electrochemical deposition
European Chemicals Agency
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved.
42
Terms ECTFE EEA EEE EFEM EHS Electronegativity Electronic mold compounds Embedded barrier layers (photolithography) Encapsulant
Environmental fate and transport EoL EPDM Equipment ESIA Etching
ETFE EU EUV Extreme ultraviolet
Exposure latitude
Fab
Facilities infrastructure
Far back end of the line
FBEOL FC FCBGA FCCSP FCLGA FEOL FEP FFU HEPA
Definition
ethylene chlorotrifluoroethylene
European Economic Area
electrical and electronic equipment
equipment front-end modules
environmental, health and safety
The tendency of an atom such as fluorine to attract electrons in a molecule.
Cured resin used to protect semiconductor components from moisture and mechanical damage, and to serve as a mechanical structure. A component of top-coat-free photoresists used for immersion lithography that contains an oligomeric or low-molecular-weight PFAS. A processing step in which a semiconductor chip is encased with a certain material to protect it from the external environment. How chemicals released to the environment move in response to wind, rain and human activities. end of life
ethylene propylene diene monomer
See SMRE.
European Semiconductor Industry Association
The removal of unnecessary materials from a wafer's surface during the photolithography process so that only the design pattern remains. ethylene tetrafluoroethylene
European Union
extreme ultraviolet
The wavelength of light (13.5 nm) used to pattern the finest features required on foundation layers of advanced semiconductor devices. The extent to which a light-sensitive material can be under- or overexposed and still achieve an acceptable result. The abbreviated term for fabrication plant or fabricator, where semiconductors are manufactured on wafers (typically silicon wafers). Systems within a factory that support manufacturing operations; for example, the storage, supply and disposal of gaseous and liquid chemicals, ultrapure water production, and exhaust abatement. Processing that occurs after the fabrication of a semiconductor device in preparation for subsequent packaging. far back end of the line
flip chip
flip-chip ball grid array
flip-chip chip-scale package
flip-chip land grid array
front end of line
fluorinated ethylene propylene
fan filter unit high-efficiency particulate air
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved.
43
Terms F-gas
F-HTF Final resolution FinFET FKM
Fluorine Fluorine gas/F2 FFKM
Fluoroelastomer Fluoropolymer
FM Focus window
FOSB FPD Front end of line
FOUP f-TTF GAC GaN Gas cluster ion beam
Gasket
GDP GHG GHS GWP H2O H2O2 H2SO4 H3PO4 HAR
Definition
Fluorinated gases, particularly those that are perfluorocarbons and hydrofluorocarbons and may be considered PFAS-containing materials. fluorinated heat transfer fluid
The smallest mask feature size that a photoresist can pattern.
A multigate metal-oxide semiconductor field-effect transistor.
The American Society of Testing and Materials' name for fluoroelastomers or fluoro rubber material. A chemical element with the chemical symbol F and atomic number 9.
A diatomic gas consisting of two fluorine atoms covalently bonded.
The American Society of Testing and Materials' name for perfluoro elastomers or perfluoro rubber material, which typically contains higher levels of fluorinated materials compared to FKM. Fluorocarbon-based synthetic rubbers; part of the fluoropolymers family.
A distinct subset of fluorinated high-molecular weight polymers with fluorine atoms directly attached to their carbon-only backbone. Factory Mutual
A range of focus values for which a photoresist simultaneously meets linewidth, wall angle, absence of residues and top retention criteria. front opening shipping box
flat panel display
The steps of semiconductor fabrication, from a blank wafer to a completed wafer that has not yet been separated into individual chips. front opening unified pods
fluorinated-tetrathiafulvalene
granular activated carbon
gallium nitride
A technology for nano-scale modification of surfaces. The process can smooth a wide variety of surface material types to within an angstrom of roughness without subsurface damage, and is also used to chemically alter surfaces through infusion or deposition. Flat, circular seals (often manufactured with flexible materials, but sometimes designed with harder materials) that sit between two flat surfaces designed to prevent leakage. gross domestic product
greenhouse gas
globally harmonized system
global warming potential
water
hydrogen peroxide
sulfuric acid
phosphoric acid
high aspect ratio
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved.
44
Terms HCl HDI HDPE HEPA HF HFC HFFR HFPO-DA High aspect ratio
HNBR HNO3 HPDE HPM HTF HUPW HV HVM IC IEEE IH IHS IMEC Immersion topcoat
IMP Implantation
Integrated circuit
Ion implantation
IP IPA IRDS
Definition
hydrochloric acid high-density interconnect high-density polyethylene high-efficiency particulate air
hydrofluoric acid or hydrogen fluoride gas hydrofluorocarbon halogen-free flame retardants hexafluoropropylene oxide-dimer acid
Very tall and narrow device features such as dynamic random access memory capacitor cells; the higher the aspect ratio of a feature, the more challenging it is to create. hydrogenated nitrile butadiene rubber
nitric acid high-density polyethylene A mixture of hydrochloric acid and hydrogen peroxide, also known as SC2. heat transfer fluid
high ultra-pure water high voltage high-volume manufacturing integrated circuit
Institute of Electrical and Electronics Engineers industrial hygiene integrated heat spreader Interuniversity Microelectronics Centre
A thin film, containing PFAS, applied over the photoresist to prevent the leaching of resist components into the water of the immersion tool, and likewise, to prevent water from permeating into the resist. implant equipment A low-temperature process by which the ions of one element are accelerated into a solid target, thereby changing the physical, chemical or electrical properties of the target. Also known as a chip, microchip or semiconductor device; a set of electronic circuits on one small flat piece of semiconductor material, usually silicon, with large numbers of integrated, miniaturized transistors and other electronic components. A low-temperature process in which a beam of ions is created from a source material and implanted (or injected) into the surface of a patterned wafer substrate. intellectual property isopropyl alcohol International Roadmap for Devices and Systems
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved.
45
Terms
Definition
ISO
International Organization for Standardization
ITRI
Industrial Technology Research Institute
ITRS
International Technology Roadmap for Semiconductors
KOH
potassium hydroxide
KrF
krypton fluoride
LAN
local area network
Land-side components Laser release layers LGA
The bottom side of a controlled collapse chip connection (C4) package, on which the package is mounted to a printed circuit board. A thermoset polymer-based layer that enables the stress-free debonding of glass-carrier wafers using ultraviolet laser irradiation. land-grid array
LITH
lithography equipment
Lithography/litho
Abbreviated terms for photolithography.
L-PFC
liquid perfluorocarbon
LSC
land-side components
MAC
multiple-alkylated cyclopentane
Mask/mask set
See photomask.
MEMS
micro-electromechanical systems
MET
metrology equipment
Metrology
Micro-electromechanical system devices Micron
Measuring the various dimensions or physical or chemical characteristics of a semiconductor integrated circuit on a wafer. The technology of microscopic devices incorporating both electronic and moving parts. A unit of length equal to one-millionth of a meter.
Mold releases Mold release sprays Moore's law MTBC
Materials applied to molds (for example, of plastic parts) to create a nonstick barrier. An aerosol that forms a layer or barrier between the mold and casting agent that facilitates demolding. Gordon Moore's principle that the number of transistors incorporated in a chip will approximately double every 24 months. mean time between cleans
MW
molecular weight
NAND Nanometer
A type of flash memory that is nonvolatile, and does not require any power to keep data in it. A unit of length equal to one-billionth (short scale) of a meter.
NaOH
sodium hydroxide
NBR
nitrile butadiene rubber
NEC
National Electrical Code
NF
nanofiltration
NH4OH
ammonium hydroxide
NIOSH
National Institute for Occupational Safety and Health
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved.
46
Terms nm Node
NTRS O2 O3 OECD OEM OEL Oleophobic Optical proximity correction Organic-based
O-ring
Outgassing
Package
PAGs PAO PAS PBGA PBT PBZ PC PCB PCD PCTFE PDMS PE PECVD PEEK Pellicle
Perfluorocarbon gases PERR PFA PFA-CF PFAS
Definition nanometer Each new generation process designated by its minimum feature size in nanometers or its transistor gate length. National Technology Roadmap for Semiconductors oxygen ozone Organization for Economic Co-Operation and Development original equipment manufacturer occupational exposure limit A substance that repels oil or organics. A photolithography enhancement technique used to compensate for image distortion caused by light diffraction or process effects. Chemicals or formulations where the principle constituents are carboncontaining molecules. A donut-shaped gasket that helps seal joins between separate parts and prevents the leakage of fluids and gases. The release of a gas that was dissolved, trapped, frozen or absorbed in a material. Metal, plastic, glass or ceramic casing containing one or more discrete semiconductor devices or integrated circuits. photoacid generators polyalphaolfins polyalkyl substances plastic ball grid array persistent bioaccumulative toxins personal breathing zone personal computer printed circuit board planar chemical delivery polychlorotrifluoroethylene polydimethylsiloxane fluids polyethylene plasma-enhanced chemical vapor deposition polyether ether ketone A thin, transparent membrane used to prevent the deposition of unwanted particles on a photomask. Gases used in plasma etching, gas deposition and chamber cleaning. post-etch residue remover perfluoroalkoxy copolymer perfluoroalkoxy-carbon fiber-reinforced composite perfluoroalkyl and polyfluoroalkyl substances
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved.
47
Terms PFC PFEPE PFOA PFOS PFPE PFSA PGA Photoacid generator
Photolithography
Photomask
Photoresists
Pin grid array
Piranha etch
Plasma cleaning
Plasma dry etch
Polymer
POU PP ppb PPE ppm ppt Pre-clean PTFE Pulse dampeners Pump fluids and lubricants
Definition
perfluorocarbons
polyfluoroethyl propyl ether
perfluorooctanoic acid
perfluorooctane sulfonic acid
perfluoropolyether
perfluorosulfonic acid
pin grid array
Molecules that generate a strong acid upon the absorption of light used in chemically amplified resists. Techniques that use light to produce minutely patterned thin films of suitable materials over a substrate, such as a silicon wafer, to protect selected areas of it during subsequent etching, deposition or implantation operations. Typically, ultraviolet light is used to transfer a geometric design from an optical mask to a light-sensitive chemical (a photoresist) coated on the substrate. The photoresist either breaks down or hardens where it is exposed to light. Removing the softer parts of the coating with appropriate solvents then creates the patterned film. A glass substrate with a pattern of transparent and opaque regions used to selectively expose the photoresist used in the photolithography process. A light-sensitive material used in photolithography to form a patterned coating on a surface. A means of connecting a semiconductor package to a circuit board using metal pins. A mixture of sulfuric acid and hydrogen peroxide used to clean organic residues off of substrates. The use of a plasma gas to remove particles, residues and films from a wafer surface before or after adjacent processes. The removal of a masked pattern of semiconductor material by exposing the material to a bombardment of ions (usually a plasma of reactive gases such as fluorocarbons or oxygen). A substance or material consisting of very large molecules called macromolecules, composed of many repeating subunits. point-of-use abatement
polypropylene
parts per billion
personal protective equipment
parts per million
parts per trillion
See season.
polytetrafluoroethylene
A device in a pumping system that reduces pulsation during a pump cycle.
Chemicals that prevent the generation of particles and offgassing (in a vacuum), while ensuring the smooth and precise functionality of fabrication and processing equipment.
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved.
48
Terms
Definition
PVC
polyvinylchloride
PVD
physical vapor deposition
PVDF
polyvinylidene fluoride
QFN
quad-flat no-lead package
QFP
quad-flat pack
R&D
research and development
REACH
Registration, Evaluation, Authorization and Restriction of Chemicals
Registration, Evaluation, European Union Regulation 1907/2006.
Authorization and
Restriction of Chemicals
RF
radio frequency
RFO
restrictive flow orifice
RO
reverse osmosis
Standard Clean 1/SC1 Standard Clean 2/SC2
A mixture of ammonium hydroxide (28 wt %), hydrogen peroxide (30 wt %) and water, also known as APM. A mixture of hydrochloric acid and hydrogen peroxide, also known as HPM.
SDS
safety data sheet
Season SEM
A step in dry-etch processing that conditions the tool chamber with plasma gases to reduce chamber wall contamination or defects to production wafers. scanning electron microscope
SEMI
Semiconductor Equipment and Materials International
Semiconductor
A global industry trade association for the semiconductor and electronics
Equipment and Materials supply chain.
International
SFE
semiconductor facility equipment
Si3N4
silicon nitride
SIA
Semiconductor Industry Association
SiARC
silicon anti-reflective coating
SiC
silicon carbide
Siloxanes
A functional group in organosilicon chemistry with the Si-O-Si linkage.
SiO2
silicon oxide
SMD
surface-mount device
SMIF
standard mechanical interface
SMRE
semiconductor manufacturing and related equipment
SMT
surface modification treatment
SOIC
small-outline integrated circuit
SOP
small-outline package
Spin-on barriers
Layers applied by spin casting that separate lithographic elements from each other; for example, spin-on topcoats in immersion lithography that separate photoresists and the immersion liquid.
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved.
49
Terms
Definition
Spin-on low-K dielectrics A material with a small relative dielectric constant (, kappa) relative to silicon
dioxide that is deposited on the surface of a wafer spinning on a rotating
vacuum chuck.
Sputtering
Microscopic particles of a solid material ejected from its surface after the
material is itself bombarded by energetic particles of a plasma or gas.
SRC
Semiconductor Research Corp.
SS
stainless steel
Substrate (packaging) Surfactants SUS
Supporting material upon which or within which the elements of a semiconductor device are fabricated or attached. Chemical compounds that decrease the surface tension or interfacial tension between two liquids, a liquid and a gas, or a liquid and a solid. steel uses stainless
SVHC
substance of very high concern
TAG
thermal acid generator
TARC
top anti-reflective coating
TCU
temperature control unit/thermal control unit
Temporary bonding/debonding
TFE Thermal test method
Thickener
A process to offer temporary mechanical support for thin or to-be-thinned wafers in the advanced packaging and heterogeneous assembly of semiconductors. tetrafluoroethylene A variety of techniques in which a property of a sample is continuously measured as the sample is programmed through a pre-determined temperature profile. A formulation component that increases the viscosity of the formulation.
TIM
thermal interface materials
TLV
threshold limit values
TMAH
tetramethylammonium hydroxide
TOC
total organic carbon
Tool Top retention
Another term for SMRE, often used to describe semiconductor manufacturing and related equipment. A lack of film thickness from the photoresist top.
Transistor
A semiconductor device used to amplify or switch electrical signals and power.
TSV
through-silicon via
TTF
thermal test fluids
UHP
ultra-high purity
ULPA
ultra-low particulate air
Underfills UPW
An electrically insulating adhesive used to provide a stronger mechanical connection, provide a heat bridge, or prevent solder joint stress caused by differential heating of the chip and the rest of the system. ultra-pure water
USA
United States of America
U.S. EPA
United States Environmental Protection Agency
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved.
50
Terms USD UV VAC Vacuum dry etching
Vacuum pump system
van der Waals radius
VF2 VMB VMQ VOC vPvB VTM Wafer
Wall angle WCLN WEEE Wet chemistry
WETCH WLP WSC WSTS WTE
Definition
United States dollar
ultraviolet
vacuum equipment The removal a masked pattern of semiconductor material by exposing the material to a bombardment of ions (usually a plasma of reactive gases such as fluorocarbons). A pump and its associated appurtenances, such as tubing, piping and seals used to draw a vacuum. A measure of the size of an atom that is not chemically (ionically or covalently) bound. vinylidene fluoride
valve manifold box
vinyl methyl silicone
volatile organic compound
very persistent very bioaccumulative
vacuum transfer module
A thin, round slice of a semiconductor (usually crystalline silicon) used for the fabrication of integrated circuits. The angle formed by a photoresist sidewall and a substrate.
wet cleaning
waste electrical and electronic equipment
Liquid substances used in processes such as wet etching, cleaning, chemicalmechanical planarization, surface modification treatments and other liquid applications in chip manufacturing. wet etch equipment
wafer-level packaging
World Semiconductor Council
World Semiconductor Trade Statistics
waste to energy
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved.
51
Appendix B: Semiconductor and Supply-Chain Complexity Semiconductor devices, also known as chips, are the foundation of all modern electronics. In 1965, Gordon Moore hypothesized a doubling every two years of components on an integrated circuit (see Figure B-1). As the number of transistors on devices has increased, the capability that they deliver has likewise increased, and the cost per transistor has decreased. Semiconductors are faster, smaller, less expensive, more energy efficient and more important than ever.
Figure B-1: Moore's law - a semi-log plot of transistor counts for microprocessors against dates of introduction, nearly doubling every two years (Roser and Ritchie 2020).
In 1972, the Intel 4004 microprocessor contained 2,250 transistors and was manufactured using critical dimensions (transistor gate width) on the order of 10 m (or 10,000 nm). Compare that to Apple's M2 Max processor, launched in January 2023, which contains 67 billion transistors manufactured using critical dimensions on the order of 5 nm (Shankland 2023). That corresponds to >29 million times more transistors per chip, built using critical dimensions that are >2,000 times smaller, and just a dozen water molecules wide. If you applied this same boost in performance to automobiles, a car that got 20 mpg in 1972 would be able to go to the moon 2,500 times on a single gallon of gas in 2023.
The efficient and controlled use of materials has been an important component in achieving these advances. Increased computing power attributed to improved semiconductors has enabled advances in communications (mobile phones and smartwatches), computing, medical devices and health care (portable ultrasounds, magnetic resonance imaging, robotic surgery and telemedicine), military systems,
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved.
52
transportation (advanced driver assistance systems, electric vehicles), clean energy, enhanced and advanced safety applications (anti-lock and auto-braking technology), GPS, and many other applications that impact all facets of society. See Figure B-2. These advances would not have been possible without proportionally immense innovations in semiconductor manufacturing.
Successive device generations have required increasingly complex chip designs and a continuous downscaling in feature size. The number of processing steps used to manufacture each semiconductor device has also grown dramatically, necessitating increasingly high yields in each step (>99.999%) to achieve cost efficiency (De Backer, et al. 2018). Achieving features at nanoscale requires rigorous control and great precision in manufacturing; however, without a consistently reproducible means to achieve such high yields, semiconductor manufacturing would not be possible at the scale required for today's computerized world.
Figure B-2: Semiconductors enable technologies from aerospace and automotive to artificial intelligence, consumer products, medical, and robotics applications.
The supply chain required to support the semiconductor industry is dispersed and complex. In their 2021 report, the Center for Security and Emerging Technology (Alam, et al. 2020) stated that "The half-trilliondollar semiconductor supply chain is one of the world's most complex. The production of a single computer chip often requires more than 1,000 steps passing through international borders 70 or more times before reaching an end customer."
The semiconductor industry ecosystem involves several different layers of companies, each serving distinct roles from enablement software and intellectual property (IP), to chip designers, to the raw materials, tooling and facilities infrastructure needed in manufacturing facilities, to manufacturers where chips are built, to packaging houses that test and package chips for use in a wide range of applications.
The Proprietary Nature of Semiconductor Chemicals
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved.
53
Suppliers invest considerable time, money and resources to develop proprietary chemicals that meet the exacting requirements to manufacture at nanometer dimensions. They need to protect their investment. Many semiconductor materials are complex chemical formulations. Given that a specific chemical identity is highly guarded IP, suppliers usually require a signed nondisclosure agreement before sharing this information with their customers (semiconductor device manufacturers).
Releasing information about proprietary chemicals to the public would give competitors an unfair advantage and could cause substantial harm to a company's competitive market position. In addition, when companies submit CBI to the U.S. EPA, they certify that they have gone through reasonable measures or internal controls to protect that information as confidential. If companies were to provide proprietary chemical information to the public, the claim of CBI with the U.S. EPA would also be at risk.
Device Technology Must Evolve to Meet the Demands of an Increasingly Complex World The industry has maintained the Moore's law trajectory by continually shrinking minimum feature sizes; this has required continuous evolution in designs, chemicals and tools. Along the way, the complexity and number of materials used in chip manufacturing has grown tremendously.
While in the 1980s semiconductor fabs used fewer than 20 elements, today they are using over 50% of the nonradioactive elements in the periodic table (Figure B-3). As an example, to maintain sufficient conductivity of ever-finer metal structures, the metal used for highly conductive in-chip wiring has moved from aluminum and tungsten to copper, and is further migrating to cobalt (at present) and possibly ruthenium. These new metals not only require new precursors and processes but the development of new barrier layers and liners to help them maintain their integrity and prevent their migration to other parts of the chip structure.
Figure B-3: Advanced semiconductor manufacturing now uses over 50% of the nonradioactive elements in the periodic table. The portfolio of a major materials vendor offers existing precursors
containing 28 elements, with another 22 under development.
The Belgian research organization IMEC has predicted that the dimensional scaling underlying Moore's law will continue through 2036 for logic devices. See Figure B-4. Maintaining and improving the performance of photolithography processes is key to staying on this roadmap as critical feature sizes shrink down to 12 nm, or about 50 silicon atoms. At these ranges, the demands on photoresist and other imaging chemicals and processes become extreme, with the need to control line widths to a single monomer unit in a lithographic polymer.
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved.
54
Figure B-4: IMEC's vision of the future logic roadmap, with dimensional scaling predicted to continue through 2036 (IMEC 2023).
Beginning with the A5 logic node, transistors will begin moving into the third dimension. This is the start of a development that will ultimately see the advent of 3D logic circuits. Logic chips will thus follow the trajectory already blazed by 3D not-and (NAND) nonvolatile memory chips, which have overcome the limitations of two-dimensional NAND designs by stacking hundreds of memory cells on top of each other.
The dynamic random access memory (DRAM) volatile memory chips that constitute a computer's working memory are on the verge of following this path, with all major memory makers working on 3D DRAM designs to replace the 2D versions, which are increasingly hard to make as feature sizes shrink. See Figure B-5.
Figure B-5: A 3D NAND complementary metal-oxide semiconductor under-array design with 232 memory cell layers in two stacks (Micron Technology Inc. 2022).
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved.
55
Stacked 3D structures demand highly advanced dry-etch processes. While highly performing photolithography remains a key prerequisite, high-aspect-ratio etch steps are highly demanding: they have become some of the most critical processes and can only be carried out with advanced PFAS-type etch gases. All of these developments will rely on evolving existing materials and processes to a higher level of performance. At present, for the most advanced chips, the number of photolithography layers used to successively build circuits has exceeded 70 (see Figure B-6), many of which are highly interconnected: a seemingly small change in one layer can impact the yield of a process three or four steps further down. Integrating these successive patterning steps is highly complex. There is therefore little to no room for compromise in accepting substitutions of photolithography materials with even slightly inferior alternatives.
Figure B-6: Layer numbers for advanced logic device nodes by exposure technology.
A highly beneficial side effect of shrinking feature sizes is the higher energy efficiency of advanced node chips. This is visible to consumers through improved battery life of the latest-model smartphone, but it is also critical in terms of overall world energy use. We are on track to use about 25% of the world's electricity production for computing by 2025, with demand rising further thereafter - clearly an unsustainable development. There have been calls for computing efficiency improvements by 1,000 or even 1 million times (see Figure B-7).
Figure B-7: The SRC's Decadal Plan has identified five "seismic shifts" that will define the future of semiconductors and information/communications technology (SRC 2022). No. 5 calls for a millionfold improvement in computing efficiency.
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved.
56
As the world transitions to an information-based society, computational demands will skyrocket. Intel has estimated that the implementation of the metaverse vision will require a thousandfold increase in available computing power (Gartenberg 2021). The computing requirements of advanced artificial intelligence (AI) models will serve as another example. The rate of increase in computational demands for the training of advanced AI models such as Google's DeepFold AI or OpenAI's ChatGPT have exceeded the benefits provided by Moore's law by a factor of over 100 (Figure B-8). These high demands on computing power are already beginning to slow down the development of advanced AI.
The computing power and energy efficiency necessary to enable continued AI development will require new chip designs, most likely neuromorphic designs modeled after the human brain. While it is yet uncertain what these new chip designs will be and what new materials they will require, it is certain that their manufacturing processes will build as much as possible on existing photolithographic processes and materials.
Figure B-8: Exploding computational requirements for the training of advanced AI models (Binus University Faculty of Engineering 2022).
Overview of Semiconductor Manufacturing Semiconductor device fabrication is the process used to create integrated circuits, which are an essential component of electronic devices. OECD emissions scenario documents provide an overview of the semiconductor manufacturing process (OECD 2015); (OECD 2004). The fabrication process (see Figure
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved.
57
B-9) begins with a wafer of semiconductor material (typically silicon) varying in size from 150 mm to 300 mm in diameter.
In the fab, a sequence of photographic and chemical processing steps gradually create electronic circuits on the wafer substrate. These electrical circuits are made one layer at a time through the combination of depositing a layer on the surface of the wafer and using a patterning process to then remove designated parts of the layer and leave behind a specific shape. For more information on the use of PFAS chemicals and articles in semiconductor manufacturing, see the Semiconductor PFAS Consortium white papers.
These are the basic steps that occur in a fab:
Oxidation, usually performed at 800C to 1,200C in a tube furnace, is a batch process that diffuses O2 or water H2O vapor into a silicon wafer to form an SiO2 layer that protects the wafer surface during subsequent steps.
Photolithography, also known as lithography, is a process that transfers the specific device pattern onto the wafer. It can create extremely small patterns, down to a few tens of nanometers in size, with precise control of the shape, size and placement of the images it produces. These patterns are used to create the tens of billions of transistors and connecting wiring on a modern microprocessor. o In a typical processing scheme, a photoresist polymer formulation is applied to a spinning wafer and then subjected to a pre-exposure bake to drive off the solvent, rendering a solid film. The coated wafer substrate is then exposed through a patterned photomask, with actinic radiation from a light source of specified wavelength. Reflectivity of a semiconductor material during light exposure can be problematic; a layer of anti-reflective coating helps absorb light and reduce reflections during exposure. An anti-reflective coating applied after the photoresist is known as a top anti-reflective coating (TARC) agent and an anti-reflective coating applied before the photoresist is known as a bottom anti-reflective coating (BARC) layer.
After exposure, the coated wafer substrate undergoes a development process in which the previously exposed regions are selectively dissolved and removed from the photoresist film. This leaves the wafer surface with a patterned coating of photoresist, where in selected regions the resist material is completely removed, and in the remaining areas the photoresist forms a protective coating. The open areas of the substrate may then undergo additive processes like physical vapor deposition, CVD, diffusion, ion implant or plating, or subtractive processes like a plasma etch. In doping/diffusion, atoms with one less electron than silicon (such as boron) or one more electron than silicon (such as phosphorus) are introduced into the area exposed by the etch process in order to alter the electrical character (conductivity) of the silicon. In thin-film deposition, a type of which is known as CVD (OECD 2015), adding thin layers or films to the wafer surface can change its electrical properties or serve as masks. Etching chemically removes specific areas of a deposited film in order to expose an underlying material or deposit another material. Etching may be performed in a wet process using solutions of acids, bases or oxidizers, or in a dry process using various gases in a plasma. After the etch or deposition process, the residual photoresist and anti-reflective coating are removed from the wafer surface. This final step, known as photoresist strip, occurs in a way that completely and uniformly removes the residual photoresist, without adversely impacting the surfaces of the materials comprising the underlying wafer substrate.
Semiconductor devices are highly susceptible to various kinds of contamination such as particles, metal ions, chemicals, bacteria and airborne molecular contaminants; thus, surface preparation in etching and cleaning tools is a necessary step at multiple points in the manufacturing flow to remove contaminants and ensure high yields. Following completion of the "front end," the individual devices are interconnected using a series of alternating metal depositions and dielectric films, with their respective patterning.
CMP uses chemical and physical forces to remove excess material from the wafer's surface, creating a perfectly flat surface for the next layer of circuit features.
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved.
58
After the last metal is patterned, the depositing of a final insulating layer known as passivation protects the circuit from damage and contamination. Etching openings in this film allow access to the top metal layer with electrical probes and subsequent wire bonds. Passivation may occur in the wafer fab or at an assembly and packaging facility.
Figure B-9: Overall process flow diagram - semiconductor manufacturing (OECD 2010).
After passivation, wafers are shipped to assembly, test and packaging facilities. At this stage, a probe of each chip on the wafer identifies defects, and then a diamond-embedded saw blade slices the wafer into individual die. Packaging functional die then facilitates electrical connections; dissipates heat; and protects the die from external factors such as humidity, chemicals, impact and vibration. The packaged integrated circuit is then shipped to customers for incorporation into their products.
PFAS-containing articles are found in many SMRE used to perform the processes described here and in the manufacturing facility infrastructure. For examples of PFAS-containing articles, see the Semiconductor PFAS Consortium white paper, "PFAS-Containing Articles Used in Semiconductor Manufacturing."
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved.
59
Appendix C: List of SEMI Safety Guidelines
SEMI AUX005 - Comparison Matrix Between SEMI S2-93A and S2-0200 SEMI S1 - Safety Guideline for Equipment Safety Labels SEMI S2 - Environmental, Health and Safety Guideline for Semiconductor Manufacturing Equipment SEMI S3 - Safety Guideline for Process Liquid Heating Systems SEMI S4 - Safety Guideline for the Segregation/Separation of Gas Cylinders Contained in Cabinets SEMI S5 - Safety Guideline for Sizing and Identifying Flow Limiting Devices for Gases SEMI S6 - Environmental, Health and Safety Guideline for Exhaust Ventilation of Semiconductor
Manufacturing Equipment SEMI S7 - Safety Guideline for Evaluating Personnel and Evaluating Company Qualifications SEMI S8 - Safety Guideline for Ergonomics Engineering of Semiconductor Manufacturing Equipment SEMI S10 - Safety Guideline for Risk Assessment and Risk Evaluation Process SEMI S12 - Environmental, Health and Safety Guideline for Manufacturing Equipment Decontamination SEMI S13 - Environmental, Health and Safety Guideline for Documents Provided to the Equipment User
for Use With Manufacturing Equipment SEMI S14 - Safety Guideline for Fire Risk Assessment and Mitigation for Semiconductor Manufacturing
Equipment SEMI S16 - Guide for Semiconductor Manufacturing Equipment Design for Reduction of Environmental
Impact at End of Life SEMI S17 - Safety Guideline for Unmanned Transport Vehicle (UTV) Systems SEMI S18 - Environmental, Health and Safety Guideline for Flammable Silicon Compounds SEMI S19 - Safety Guideline for Training of Manufacturing Equipment Installation, Maintenance and
Service Personnel SEMI S21 - Safety Guideline for Worker Protection SEMI S22 - Safety Guideline for the Electrical Design of Semiconductor Manufacturing Equipment SEMI S23 - Guide for Conservation of Energy, Utilities and Materials Used by Semiconductor
Manufacturing Equipment SEMI S24 - Safety Guideline for Multi-Employer Work Areas SEMI S25 - Safety Guideline for Hydrogen Peroxide Storage and Handling Systems SEMI S26 - Environmental, Health and Safety Guideline for FPD Manufacturing System SEMI S27 - Safety Guideline for the Contents of Environmental, Safety and Health Evaluation Reports SEMI S28 - Safety Guideline for Robots and Load Ports Intended for Use in Semiconductor
Manufacturing Equipment SEMI S29 - Guide for Fluorinated Greenhouse Gas (F-GHG) Emission Characterization and Reduction SEMI S30 - Safety Guideline for Use of Energetic Materials in Semiconductor R&D and Manufacturing
Processes
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved.
60