Document QzLrMkE8m24p5R1NXazQqeXv
PFAS-Containing Articles Used in Semiconductor Manufacturing
Semiconductor PFAS Consortium Articles Working Group
July 31, 2023
Acknowledgments: The authors would like to acknowledge the contributions from Semiconductor PFAS Consortium Articles Working Group members and Chemservice Group GmbH for their efforts to compile this information.
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved.
1
This publication was developed by the Semiconductor PFAS Consortium Articles technical working group. The contents do not necessarily reflect the uses, views or stated policies of individual consortium members.
Also published in the Semiconductor PFAS Consortium white paper series:
White Paper Case Study
Case Study Case Study White Paper
White Paper White Paper White Paper White Paper
Background on Semiconductor Manufacturing and PFAS PFOS and PFOA Conversion to Short-Chain PFAS-Containing Materials Used in Semiconductor Manufacturing PFAS-Containing Photo-Acid Generators Used in Semiconductor Manufacturing PFAS-Containing Surfactants Used in Semiconductor Manufacturing PFAS-Containing Fluorochemicals Used in Semiconductor Manufacturing Plasma-Enabled Etch and Deposition PFAS-Containing Heat Transfer Fluids Used in Semiconductor Manufacturing PFAS-Containing Materials Used in Semiconductor Assembly, Test and Substrate Processes PFAS-Containing Wet Chemistries Used in Semiconductor Manufacturing PFAS-Containing Lubricants Used in Semiconductor Manufacturing
About the Semiconductor PFAS Consortium The Semiconductor PFAS Consortium is an international group of semiconductor industry stakeholders formed to collect the technical data needed to formulate an industry approach to perfluoroalkyl and polyfluoroalkyl substances (PFAS).
Consortium membership comprises semiconductor manufacturers and members of the supply chain, including chemical, material and equipment suppliers. The consortium includes technical working groups, each focused on the:
Identification of PFAS uses, why they are used, and the viability of alternatives. Application of the pollution prevention hierarchy to (where possible) reduce PFAS consumption
or eliminate use, identify alternatives, and minimize and control emissions. Development of socioeconomic impact analysis data. Identification of research needs.
This data will better inform public policy and legislation regarding the semiconductor industry's use of PFAS-containing materials and will focus research and development efforts. The Semiconductor PFAS Consortium is organized under the auspices of the Semiconductor Industry Association (SIA). For more information, see www.semiconductors.org.
AGC Chemicals America Analog Devices Inc. Applied Materials Inc. Arkema ASML BASF Brewer Science Central Glass Co. Ltd. Chemours DuPont Edwards EMD Electronics Entegris Fujifilm Electronic Materials
Georg Fischer GlobalFoundries Henkel Hitachi High-Tech America IBM Intel Corp. JSR KLA Lam Research Linde Micron Technology Moses Lake Industries NXP Semiconductors Robert Bosch GmbH
Samsung Austin Semiconductor SCREEN Semiconductor Solutions Co. Ltd. Senju Metal Industry Co. Ltd. Shin-Etsu MicroSi Skywater Solvay STMicroelectronics Sumitomo Chemical Co. Ltd. Texas Instruments Inc. Tokyo Electron Ltd. Tokyo Ohka Kogyo Co. Ltd. TSMC Zeiss
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved.
2
Table of Contents 1.0 Introduction and Scope ..................................................................................................................... 5 2.0 Objectives ......................................................................................................................................... 6 3.0 What are PFAS-Containing Articles? ............................................................................................... 6 4.0 Fluoropolymer Properties Considered Important to the Semiconductor Industry ............................ 8 5.0 Supply-Chain Complexity .............................................................................................................. 10
5.1 A General Description of the Supply Chain ............................................................................. 11 5.2 Finding and Controlling PFAS-Containing Materials in the Supply Chain ............................. 14
5.2.1 Fabricated Components Designed by the EM................................................................. 16 5.2.2 Assemblies Designed by the EM .................................................................................... 16 5.2.3 Contract Manufacturing .................................................................................................. 17 5.2.4 Off-the-Shelf Components.............................................................................................. 17 5.2.5 Fundamental Materials.................................................................................................... 17 5.3 Time and Resources Required to Change Components ........................................................... 18 5.3.1 Example Analysis of a Simple Assembly ....................................................................... 20 6.0 Semiconductor Manufacturing and SMRE ..................................................................................... 21 6.1 Oxidation .................................................................................................................................. 23 6.2 Photolithography ...................................................................................................................... 23 6.2.1 Coating............................................................................................................................ 24 6.2.2 Exposure ......................................................................................................................... 24 6.2.3 Developing...................................................................................................................... 25 6.3 Ion Implantation ....................................................................................................................... 25 6.4 Thermal Processes (Diffusion and Annealing)......................................................................... 26 6.5 Etching...................................................................................................................................... 26 6.5.1 Plasma Etching................................................................................................................ 27 6.5.2 Wet-Etch and Chemical Cleaning Processes .................................................................. 27 6.6 Deposition and Metallization ................................................................................................... 28 6.6.1 CVD ................................................................................................................................ 28 6.6.2 PVD ................................................................................................................................ 28 6.6.3 ALD ................................................................................................................................ 28 6.6.4 ECD ................................................................................................................................ 29 6.7 CMP.......................................................................................................................................... 30 6.8 Wafer and Mask Metrology and Analytics............................................................................... 30 6.9 Far BEOL ................................................................................................................................. 30 6.10 Assembly, Test and Packaging............................................................................................... 31 6.11 General Aspects of SMRE...................................................................................................... 32 6.11.1 Reusable Wafer Protection for Transport Between Equipment or Into or Out of a Fab ................................................................................................................................................. 32 6.11.2 Cassette Loading Stations ............................................................................................. 32 6.11.3 Wafer-Handling Mechanisms ....................................................................................... 32 6.11.4 Vacuum Systems........................................................................................................... 33 6.11.5 General Electronics ....................................................................................................... 33 6.11.6 Pneumatic Systems ....................................................................................................... 35 6.11.7 Process Chemical Delivery Systems............................................................................. 35 6.11.8 Inks................................................................................................................................ 35
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved.
3
7.0 Facility Infrastructure......................................................................................................................35 7.1 Wafer Fabrication Facility........................................................................................................ 37 7.2 Exhaust Collection and Abatement .......................................................................................... 37 7.2.1 Point-of-Use Abatement ................................................................................................. 40 7.3 Chemical Waste and Wastewater ............................................................................................. 40 7.4 Process and Facility Measurement Devices ............................................................................. 41
8.0 Semiconductor Equipment and Infrastructure Lifetimes ................................................................ 41 9.0 PFAS Articles for the Protection of Worker Health and Safety ..................................................... 43
9.1 Protection from Chemical Hazards........................................................................................... 43 9.1.1 Chemical Compatibility .................................................................................................. 43 9.1.2 Temperature Stability...................................................................................................... 43
9.2 Protection from Fire ................................................................................................................. 44 9.2.1 Nonflammability and Low Smoke Generation ............................................................... 44
10.0 Environmental Releases and Control ............................................................................................ 44 10.1 Environmental and Worker Protection ................................................................................... 44 10.2 Article End of Life.................................................................................................................. 44
11.0 Challenges in Finding Alternatives............................................................................................... 45 11.1 Aspects to Consider in Analyzing the Suitability of an Alternative....................................... 45 11.2 The Use of Fluoropolymers in Pipes, Tubes and Vessels for UHP Chemical Requirements 48 11.3 The Use of Fluoropolymers for Seals and Gaskets in SMRE ................................................ 49 11.4 The Use of Fluoropolymers in UPW Piping Systems ............................................................ 51 11.5 The Use of Fluoropolymer Lining in Exhaust Ductwork ....................................................... 52 11.6 The Use of PTFE, FEP and ETFE in Electrical Cabling ........................................................ 52 11.6.1 Cabling in Plenum Spaces ............................................................................................ 52 11.6.2 LAN Cabling................................................................................................................. 53 11.7 Additional Considerations on the Potential Substitution of Fluoropolymers ......................... 54
12.0 Substituting Non-PFAS-Containing Articles................................................................................55 13.0 Conclusions and Proposed Next Steps..........................................................................................58 14.0 References.....................................................................................................................................59 Appendix A: Abbreviations, Acronyms and Terminology ................................................................... 64 Appendix B: Fluoropolymer Characteristics and Use Tables ............................................................... 76 Appendix C: Fluorinated Polymer Processing Aids and Additives .................................................... 112 Appendix D: Testing O-Ring Material Compatibility/Resistance to F-Radicals in Plasma Etching..113
Executive Summary PFAS-containing articles are used ubiquitously in facilities that make semiconductors. The majority of articles are fully or partially composed of fluoropolymers; however, some articles are made of a non-PFAS polymer that contains PFAS, which originated from a residual PFAS processing aid or additive.
Fluoropolymers are a PFAS subclass that possess a unique set of characteristics required for piping, valves, tubes, wet bath tanks, chemical tanks and ductwork found in semiconductor manufacturing, including inertness, purity, a wide range of temperature stability, nonflammability and a long service life. Fluoropolymers inherently have exceptional thermal, chemical, ultraviolet (UV) resistance and hydrolytic, oxidative and biological stability, and do not need additives to achieve or improve these properties (Tullo 2023).
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved.
4
Fluoropolymer-containing articles, also known as fluoropolymer articles, are one of the key enablers for safe, production-worthy semiconductor manufacturing processes. The potential substitution of fluoropolymers with alternative materials is problematic, because in general, identifying an alternative that meets the characteristics required for each fluoropolymer article has not been successful and will require invention.
This white paper discusses known uses of PFAS-containing articles, specific performance requirements driving their use, and potential alternatives. We will provide examples of the use of fluoropolymers in equipment and facility systems that facilitate the manufacture of integrated circuits (ICs), and how the unique properties of PFAS-containing polymers enable the semiconductor manufacturing process. We will also discuss currently unidentified but reasonably foreseeable uses of fluoropolymer articles; the underlying reasons hindering identification; and the measures for identifying, reporting and substituting such articles. To learn more about the Semiconductor PFAS Consortium and its scope, see the Semiconductor PFAS Consortium white paper, "Background on Semiconductor Manufacturing and PFAS."
Since the purpose of this white paper is to document the use of all materials that could potentially meet a regulatory definition of PFAS, along with the performance requirements required to determine the criticality and/or essentiality of their use, the Semiconductor PFAS Consortium has defined the scope of materials described in this white paper to include all chemistries and materials that contain molecules with -CF2- and/or -CF3 moieties.
1.0 Introduction and Scope The semiconductor manufacturing process is unique. Making complex IC devices at the smallest dimensions ever achieved by humans requires some demanding chemical processes to deposit atomic levels of material and create features as small as 5 nm (5 billionths of a meter) wide.
There are thousands of individual pieces of semiconductor manufacturing and related equipment (SMRE) - also known as tools - that complete one of many individual process steps or support functions. In addition to specialized tools such as photolithography scanners and plasma etch tools, a semiconductor manufacturing facility also contains automated material handling systems (including miles of wafer carrier transportation pathways) and a complex infrastructure that incorporates articles made from or containing PFAS.
The Semiconductor PFAS Consortium Articles technical working group defines an article as "any object made from one or more substances and mixtures which during production is given a special shape, surface or design that determines its function to a greater degree than does its chemical composition, whether on its own or in an assembly with other articles, substances and mixtures." In addition to this definition, in the semiconductor industry the chemical composition of an article is in many cases as integral to its function as its shape, surface or design.
The scope of this white paper includes a discussion of articles comprising or containing PFAS defined as essential within both SMRE and a facility's infrastructure. SMRE is the term for equipment used to manufacture, measure, assemble or test semiconductor products. It includes equipment that processes substrates (silicon wafers, reticles); its component parts; and its auxiliary, support or peripheral equipment (chemical controllers, chemical delivery systems, vacuum pumps). SMRE also includes items such as structures, piping, ductwork, effluent treatment systems, valve manifold boxes, filtration and heaters.
Semiconductor manufacturing operations use both large and small quantities of gaseous, liquid and solid chemicals as well as various levels of purity-controlled water. The storage, distribution and disposal of these materials require facility-level collection and treatment systems. A manufacturing facility also requires air-conditioning systems to remove contaminants and to control humidity and temperature. An on-site ultrapure water (UPW) plant is also necessary. In this white paper, equipment
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved.
5
used in manufacturing support operations or semiconductor facility equipment (SFE) refers to equipment required to support semiconductor manufacturing and assembly, test and packaging operations.
Figure 1 is an overview of the process steps and supporting facilities that use PFAS-containing articles.
Figure 1: General overview of semiconductor manufacturing process steps, operations and systems evaluated by the Semiconductor PFAS Consortium.
2.0 Objectives The objectives of this white paper are to identify the principal applications of PFAS-containing articles in the manufacture of semiconductors (see Figures 5, 6 and 7 for examples ranging from simple articles and components to more complex assemblies). If a PFAS-containing article has specific performance characteristic requirements, we identify the application-specific performance requirements and review the availability of alternatives.
Where the use of PFAS-containing articles is unidentified but reasonably foreseeable, we will discuss the reasons they are difficult to identify, and the measures required to facilitate identification and reporting. To the extent that nonfluorinated alternatives can satisfy the application-specific performance requirements, we identify the development and/or qualification steps necessary to validate commercial viability. Finally, we will discuss the importance of PFAS-containing articles to ensure worker safety, and identify potential releases and exposure pathways for typical use cases of PFAS-containing articles in the manufacture of semiconductors.
3.0 What are PFAS-Containing Articles? PFAS-containing articles are any articles that, in whole or in part, contain a PFAS. PFAS-containing articles range from the overt case of articles made from fluoropolymers to the more subtle case of articles made from non-PFAS polymers containing PFAS additives. Figure 2 shows the basic scenarios for PFAS-containing articles.
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved.
6
Figure 2: Examples of fluoropolymer and nonfluoropolymer PFAS-containing articles.
Coatings applied to articles can make them PFAS-containing articles. Coatings can contain polymer PFAS or nonpolymer PFAS. Coatings may be as obvious as paint applied to a metal panel or as subtle as the ink used to print a part number on a component. Often, applying a fluoropolymer or other PFAS coating on articles imparts necessary characteristics that otherwise may not be present, such as water or other chemical resistance or electrical insulation.
Table 1 lists some PFAS that can be present in PFAS-containing articles. Note that the acronyms FKM and FFKM originate from German. FKM is an acronym for fluorine caoutchouc material, with the German word "Kautschuk" instead of the English "caoutchouc." FKM and FFKM are designations used in the American Society of Testing and Materials (ASTM) D1418 standard and are designated in the International Organization for Standardization (ISO)/Deutsches Institut fur Normung (DIN) 1629 standard as FPM and FFPM, respectively. FKM and FPM are polyfluoroelastomers and FFKM and FFPM are perfluoroelastomers. FKMs are made from a mixture of six monomers (vinylidenefluoride, hexafluoropropylene tetrafluoroethylene, pefluoro methyl-based vinyl ether, ethylene, propylene) and two main curing systems, which result in five types of FKMs. FFKMs are made from two monomers and a cure site monomer.
Table 1: Examples of PFAS substances found in articles in the semiconductor industry.
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved.
7
PFAS name
Polytetrafluoroethylene Polyvinylidene fluoride Perfluoroalkoxy polymer Ethylene chlorotrifluoroethylene Fluorocarbon elastomers Perfluoroelastomers Perfluorobutane sulfonate Polytrifluoroethyl methacrylate
PFAS abbreviation PTFE PVDF PFA ECTFE
FKM FFKM PFBS PTFEMA
PFAS class
Fluoropolymer Fluoropolymer Fluoropolymer Fluoropolymer
Fluoropolymer Fluoropolymer Nonpolymer perfluoroalkyl Side-chain fluorinated polymer
Common name for subclass Fluoroplastic Fluoroplastic Fluoroplastic Fluoroplastic
Fluoroelastomer Fluoroelastomer
While this white paper focuses heavily on fluoropolymer-containing articles (including fluoroplastics and fluoroelastomers) and their uses in semiconductor manufacturing, non-polymer PFAS-containing materials are also present in articles supplied to the industry, as residuals in or on the finished article. Fluorinated processing aids and additives are a particularly complex topic, which we discuss in Appendix C. It is out of the scope of this paper to detail the fluorinated processing aids and additives for every article supplied to and used by the semiconductor industry, or to evaluate the degree of difficulty of replacing all of them. While it may be possible to replace some manufacturing materials in time (such as mold releases), as of right now, processing aids and additives are as essential as the polymer articles in which they are found.
What are Fluoropolymers? Fluoropolymers differ from other substances typically included in the PFAS group of chemicals. They are also different than other polymeric fluorinated substances, such as side-chain fluorinated substances or perfluoropolyethers. Fluoropolymers are high-molecular-weight polymers that are structurally characterized by having fluorine atoms directly attached to their carbon-only backbone (Buck, et al. 2011).
Fluoropolymers as a group include fluoroelastomers and fluoroplastics, both of which are based on the same monomers and are structurally similar (OECD 2022). The main difference is the higher elasticity of fluoroelastomers, attained through chemical cross-linking (Plastics Europe n.d.).
Fluoropolymers are classified as polymers and share important properties with other polymers, such as being lightweight and having highly tunable mechanical properties (flexibility/rigidity/toughness) and processability (because of the viscoelastic properties that distinguish this class of soft materials from metals or glasses). The carbon-hydrogen (C-H) bonds in hydrocarbon-based polymers are not particularly thermally stable or chemically stable/resistant. In contrast, fluoropolymers possess one of the most stable bonds, the carbon-fluorine (C-F) bond. The properties of fluoropolymers therefore diverge from traditional C-H polymers, and have the performance and properties required in semiconductor manufacturing and related equipment systems.
4.0 Fluoropolymer Properties Considered Important to the Semiconductor Industry Fluoropolymers have multiple useful characteristics, but it is the simultaneous achievement of these properties that makes these materials critical for the safe and efficient manufacture of semiconductors (Ameduri 2018). This list of fluoropolymer properties explains their relevance and importance for semiconductor manufacturing:
Purity. Fluoropolymers used in the semiconductor industry must meet high purity requirements because even trace contaminants can severely affect production yields. Materials providing sufficiently high purity include those that meet requirements regarding organic contamination,
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved.
8
trace metals or the absence of particles as small as nanometers in size. These characteristics are important for transporting gases, UPW and ultrapure chemicals at a range of temperatures. Purity requirements are highly dependent on the process/application undertaken. The International Roadmap for Devices and Systems (IRDS 2022) specifies some purity requirements for the semiconductor industry. Additional aspects of purity include a low amount of outgassing (a measure of how much material evolves as a gas from a new/clean article in very low vacuum situations, such as the 1E-7 Torr (1.3E-5 Pa) level of ion implanter-level vacuums, or the less than 1E-9 Torr (1.3E-7 Pa) partial pressures of hydrocarbons in an extreme UV [EUV] lithography tool); a low absorption of other substances present; a low release of substances absorbed; and a low release of organic contaminants. Chemical and permeation resistance. Fluoropolymers are compatible with concentrated acids (hydrofluoric acid, sulfuric acid, phosphoric acid, nitric acid, hydrochloric acid), bases (ammonium hydroxide, tetramethylammonium hydroxide), oxidizers (ozone, hydrogen peroxide), UPW, solvents (both polar and nonpolar), and other chemicals and chemical combinations required for the manufacture of semiconductor ICs. They are also more stable than other polymers against radicals (for example oxygen radicals, hydroxy radicals, fluorine radicals), which are generated during UV irradiation or in plasmas (Dufour, et al. 2013). Temperature stability. Fluoropolymers perform across a wide range of temperatures without any performance degradation. For example, polytetrafluoroethylene (PTFE) has a minimum service temperature of -268C and a maximum service temperature of 260C. Depending on the processing need of a particular semiconductor manufacturing step, temperatures in equipment can vary from very cold (for example, the military standard (MIL-STD) for the thermal testing of packaged ICs is on the order of -65C) to very high temperatures of 260C or greater (U.S. Department of Defense 2019). Low coefficient of friction. Fluoropolymers have low coefficients of friction, making them particularly attractive for applications where moving parts are in direct contact. The highly smooth surface of fluoropolymers provides low mechanical resistance in bearings and other articles, resulting in low particle generation from wear (which could be a source of yield defects). By nature of this property, fluoropolymers also alleviate the need for lubricants in these applications. Nonflammability. Fluoropolymers are very difficult to burn. This property is especially beneficial for components used in production locations where a flammable atmosphere can exist, or where fire safety standards require the use of very low flammable and highly fire-retardant materials. American National Standards Institute (ANSI)/Factory Mutual (FM) Approvals 4910 states, "This test standard describes minimum performance requirements for materials which are intended for use in clean-room facilities. This standard evaluates the ability of the materials to limit fire spread and smoke damage. All requirements in the standard must be met for materials to be acceptable" (FM Approvals LLC 2004); (FM Approvals LLC 2023). Fluoropolymers also have low smoke generation, creating fewer particles if burning as required by the fire propagation and smoke generation criteria of ANSI/FM (FM Approvals LLC 2023). This is especially critical in cleanroom environments, as such particles substantially damage the entire clean-room area. Optical properties. Certain fluoropolymers are transparent or translucent and very stable in UV light. Fluoropolymers also typically have low refractive indices and are therefore used in optical applications such as pellicles. Mechanical properties. Fluoropolymers offer a wide range of useful mechanical properties ranging from stiff high modulus grades (useful for piping systems, mechanical pumps, wet benches, tools and wafer-handling devices) to flexible low-modulus fluoropolymers (useful for flexible tubes, gaskets and seals). Much of the equipment within a semiconductor manufacturing facility requires the use of materials that can flex (tubing, gaskets and seals, diaphragms in valves), have elasticity (materials that return to their original shape after stress causes deformation) or are stiff (PVDF piping). In addition to their excellent short-term mechanical
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved.
9
properties, fluoropolymers have excellent resistance to long-term deformation, which makes them the only choice for some piping applications that involve elevated temperatures or pressures. Contamination control. Contamination control entails both chemical and mechanical properties. Mechanical properties include low particle generation (a measure of the particles that might come off the article under different chemical or physical environments, such as temperature or normal forces) and the size of particles generated, as well as other tribological functions (characteristics related to friction, lubrication and wear). Chemical properties include resistance to adsorbing and releasing chemical contaminants. Electrical properties. In general, fluoropolymers are electrical insulators and demonstrate considerable breakdown strength. Breakdown strength refers to the ability of a material to withstand electrical stress without breaking down and conducting electricity. Fluoropolymers are also known for their excellent tracking resistance, which means that they are less likely to form conductive paths on their surface and become damaged or degraded over time. Fluoropolymers offer excellent dielectric properties; fully fluorinated polymers have some of the lowest dielectric constants and loss factors, with very low frequency dependency. This explains why fluoropolymer insulations are sought after for high-speed data communication, in radio-frequency (RF) powerdistribution system components in plasma process equipment, and in general electronic devices. On the other hand, some partially fluorinated fluoropolymers such as PVDF exhibit high dielectric constants and piezoelectric effects, which make them suitable for switches and sensors (Ho, Jihperng and Lee 2003). Processability. Compared to other materials, it is relatively easy to mold or extrude fluoropolymers into articles. Many fluoropolymers can be machined and joined by various welding technologies where other plastic materials cannot. Bacterial growth resistance. Fluoropolymers have a high resistance to bacterial, fungal and other biogrowth. Studies have demonstrated that the smooth, low-surface-energy layer fluoropolymers provide in high-purity transport systems enables greater resistance to biofilm adhesion than materials such as stainless steel or glass (Hyde, Alberg and Smith 1997). 5.0 Supply-Chain Complexity The SMRE used for semiconductor manufacturing comprises thousands of components and subcomponents coming from many different supply-chain tiers, and equally many suppliers. To appreciate the complexity of this equipment, Figure 3 shows the most complex tool used in semiconductor manufacturing. Additionally, the entire SMRE supply chain is not static from week to week, but is constantly changing, as companies select different suppliers to source materials and components, suppliers go out of business for various reasons, or suppliers merge or are acquired. These changes generally occur without the ultimate downstream semiconductor and related equipment manufacturers being notified, particularly when they acquire off-the-shelf components.
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 10
Figure 3: Dutch firm ASML's latest EUV photolithography exposure tool (Source: ASML).
Most of the semiconductor industry's awareness around PFAS is focused on recently regulated PFAScontaining materials such as perfluorooctane sulfonate (PFOS) and perfluorooctanoic acid (PFOA), which prompted supply-chain investigations into fluoropolymers (where these regulated substances are present as processing aids and additives) and industry process chemicals such as those used in lithography.
There are roughly 12,000 or more PFAS chemicals, many of which have had no regulatory profile that would prompt their disclosure in supply-chain communications (particularly if present at levels below approximately 0.1% of a delivered mixture) (U.S. EPA 2021). Therefore, it is quite possible that other PFAS-containing materials are present in the supply chain in ways not yet identified.
5.1 A General Description of the Supply Chain Figure 4 illustrates SMRE supply-chain tiers. The fundamental polymer-related companies in the supply chain are polymer producers, which generally produce polymers from a combination of monomers and various processing aids in the polymerization process. (Processing aids might remain as unintended residues in the output polymer.)
Polymers are generally output as powders, pellets or dispersions. After initial output, adding further processing aids and additives to polymers might give them certain desired characteristics, such as color, flame retardancy or stiffness. Polymer producers or downstream formulators might introduce these aids and additives. Processing aids introduced at this point might also include PFAS-containing substances added to facilitate further downstream processing of the polymer, such as to reduce slip during extrusion processes.
The SMRE supply chain might also introduce polymers in a raw form, which article and mixture suppliers use to make their products. Companies that provide stock shapes that then enter the supply chain for machining into simple components might also take in polymers.
Given these realities, we'd like to note that:
Depending on how a particular polymer company produced a fluoropolymer and what processing aids they added, one particular type of fluoropolymer from one company (such as PTFE) might not contain any nonpolymer PFAS processing aids or additives (PPAAs), while the same type of
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 11
fluoropolymer from another company might contain them. Figure 4 represents these situations as "FP-X mfn-a" and "FP-X mfn-b." A company might add PPAA to a non-PFAS polymer, resulting nonetheless in a polymer that contains PFAS. Figure 4 represents this situation as "nFP-Y." A non-PFAS polymer might have a non-PFAS processing aid or additive (nPPAA), resulting in a completely PFAS-free polymer. Figure 4 represents this situation as "nFP-Z." A company might also introduce PPAA as a mixture used in the production of an article not necessary to that article's specification but that might remain nonetheless as residue on or in the article. Mold releases are an example.
Figure 4: A representation of the SMRE supply chain. The component supply-chain portion of Figure 4 represents a relative magnitude of components and types of components that go into a typical SMRE. Specific details of an SMRE's components and suppliers are typically considered confidential business information. At tier 1, the materials and items supplied to SMRE manufacturers include: Substances and mixtures such as paints, coatings, adhesives and lubricants - represented in Figure
4 by circles. Some of these materials change composition after application (such as adhesives and paints) and some do not (such as lubricants).
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 12
Circles in Figure 4 also represent polymer stock shapes, which they might then machine in-house into a simple component.
Simple components such as brackets, tubs, tubing, ball bearings, process chamber bodies, wires, connectors, fittings and many others - represented by triangles in Figure 4 and pictured in Figure 5.
Simple assembly components such as capacitors, knobs, printed circuit boards (PCBs) (without components yet), potentiometers, ICs and many others - represented by squares in Figure 4 and pictured in Figure 6.
Complex assembly components such as controllers, wafer-handling robots, vacuum pumps, front opening unified pod (FOUP) openers, displays, RF generators, power supplies and many others - represented by pentagons in Figure 4 and pictured in Figure 7.
The term "components" refers to an item purchased by a particular manufacturer. A component might be a single article or an assembly of articles. All components are articles, but not all articles are components.
Wire Management
Wires
Process Tubs Filters
Tubing Pipe Tape
Gaskets
Heat-Shrink Tubing
Mechanical Parts
Figure 5: Examples of simple components that could contain PFAS.
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 13
Capacitors
Potentiometers
Batteries
PCBs (Empty)
Conductivity Sensors
Figure 6: Examples of simple assembly components that could contain PFAS.
Ion Gauge Controller
Power Supplies
Programmable Logic Controllers
Wafer-Handling Robots
Figure 7: Examples of complex assembly components that could contain PFAS.
5.2 Finding and Controlling PFAS-Containing Materials in the Supply Chain Let's explain some of the challenges in finding where the decisions to add PFAS substances occur in the supply chain, and having control or influence in those decisions.
Approximately 50% of the components will have a design controlled by the manufacturer; these tend to be simple components. The other 50% will be off-the-shelf components purchased from catalogs and websites.
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 14
Some component suppliers only sell through distributors. Also, it is often easier for a manufacturer to work with a distributor to acquire the components they need, because this simplifies fundamental procurement negotiations such as supplier qualification, cost and delivery schedules. Consequently, distributors will supply many components. One implication of distributor supply is that the original equipment manufacturer (OEM) for a component acquired from a distributor must then be at a deeper, more upstream supply-chain tier. Because distributors are typically situated only as a commercial intermediary and do not have (and are not conversant in) material specification data for the parts, that complicates a specifying manufacturer's ability to get detailed information about a particular component.
Entry into the supply chain of PFAS substances, including raw fluoropolymers, can occur at any tier. In Figure 4, circles without scarlet borders represent these instances. Indeed, an SMRE manufacturer might choose to buy fluoropolymer stock shapes directly and machine them into a simple component in their own factory.
Each tier of the supply chain also represents the possibility of storing components (sometimes large quantities of components) in warehouses. Storage practices occur because of the need to have stock on hand to satisfy orders quickly; the cost savings from purchasing large quantities at one time; and sometimes, because a component will be going obsolete, necessitating a large lifetime purchase to delay a product redesign. Product manufacturers also sometimes produce quantities of subsystems to their products and keep them in storage. A particular component might be in storage on its own, or in stocked subsystems. Thus, it can sometimes take a long time before a modified component saturates the supply chain.
At some tier of the supply chain (represented by "n-m" in Figure 4), the number of suppliers contributing to an SMRE will be at a maximum. This will not be the first tier of direct suppliers, and it is unlikely to be the last tier (represented by "n" in Figure 4). Adding to this swell in contributing suppliers is the fact that many manufacturers designate more than one supplier to source a particular component to ensure business continuity.
For example, if a manufacturer needs a 10-F capacitor for an assembly, they might authorize three different suppliers to supply it. This designation amplifies the number of components that must be investigated for any purpose, because the component provided from supplier No. 1 could be different that the component provided by supplier Nos. 2 or 3.
Some of the circled items in Figure 4 represent mixtures that are, of course, created from substances supplied by the next upstream tier.
Only the shallower tiers of the supply chain will include complex assemblies; the base of the supply chain (tier n) will always be substance and mixture suppliers.
For electronic simple components and simple assemblies, the supply chain is widely distributed across the globe, which introduces supply-chain communication challenges. Plus, the deeper tiers of the supply chain are often unaware of where their products are headed. Recent efforts to regulate PFAS as a broad class of chemicals adds to the difficulties of communicating specific chemical component information.
To populate a semiconductor manufacturing fabrication plant (also known as a fab), the number of different pieces of SMRE, materials and infrastructure equipment required can be in the tens of thousands for an advanced node process flow (Intel 2022). Each supplier of SMRE, materials and infrastructure equipment in turn has a supply chain of their own (known as tier 2 or tier 3 suppliers from a factory architect perspective). Additionally, because of stringent requirements in semiconductor manufacturing related to purity and contamination control, the packaging of SMRE, materials and infrastructure equipment often requires the use of PFAS-containing packaging materials.
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 15
The interdependency of the tools, infrastructure equipment and general materials (as well as their subcomponents) are often intricately interwoven, such that a change to any component - even something as seemingly insignificant as a valve in a tool, the delivery system of a bulk chemical, or the container of a chemical formulation used in chip manufacturing - can impact the yield or a performance parameter of the end-product IC. The complexity of making changes to this deeply interconnected supply chain cannot be overstated and requires intensive change-point management.
Most of the articles and components forming parts of the processing systems used for semiconductor manufacturing must be made using materials meeting very specific requirements. The manufacture of semiconductor ICs requires UPW and chemicals. The vessels, tubing, valves, seals and other processcontact components used to deliver chemicals to the wafer must not introduce any impurities. UPW, chemicals and solvents used in semiconductor manufacturing move through fab systems and equipment that are resistant to corrosion to prevent the leaching of impurities from construction equipment into the chemicals being transported, among other criteria. Manufacturers measure such performance requirements according to published standards and specifications (SEMI 2022).
There are various ways to specify the components and materials present in equipment. It is important to keep these specifications in mind when attempting to identify PFAS-containing materials that might be present in SMRE or facility equipment. In the next sections, equipment manufacturer (EM) means either the SMRE manufacturer or the SFE manufacturer.
5.2.1 Fabricated Components Designed by the EM The specification for fabricated components is typically a drawing that indicates one or several basic raw materials from which the component will be made; the dimensions and shape of the component; and a finish or finishes (such as anodization, paints or other coatings) that could be applied to the component. What is quite variable from one EM to the next is whether the specified materials and finishes are searchable. The drawings may be in a database that does not provide specific materials of finish fields, or the drawings may be simple digital images. In such cases, identifying the materials and finishes in an attempt to search for PFAS substances would require reading the image manually.
A further challenge in identifying the materials in fabricated components is the use of standard references or trade names. For example, a component material specification might call for "Xynar 341" because someone at some point determined that Xynar 341 was a material that worked. Similarly, a reference to a standard might be present, such as "Finish in accordance with MIL-STD0092A." Such cases would require further manual research to determine whether such references include PFAS in their formulations - for example, whether Xynar 341 is a fluoropolymer or some other polymer that could include a residue PFAS processing aid or additive, or whether MIL-STD0092A calls for optional finishing with a dye that includes a PFAS surfactant. (Both Xynar 341 and MIL-STD-0092A are fictitious, but demonstrate real situations.)
In addition to the basic materials and finishes mentioned above, some companies allow the inclusion of commodity parts (such as common hardware items) in fabrication drawings. Whoever is hired to fabricate the component is expected to purchase these items at their discretion. These commodity parts are not listed on an electronic bill of materials, so again, investigating the possibility of a PFAScontaining material would require reading the drawing manually, followed by investigation of such parts likely to contain PFAS.
5.2.2 Assemblies Designed by the EM Assemblies will certainly contain the materials in the components listed on the bill of materials (BOM). But there can also be substances and mixtures applied to the assembly, in addition to components such as adhesives, lubricants and coatings. These substances and mixtures can be PFAS or contain PFAS, with some variety in how companies track these materials.
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 16
Some companies might require the inclusion of these materials in the electronic BOM (a digital record of the BOM). Others might allow the materials to be called out in notes on the assembly drawing, which prompts for them to be taken from floor stock; they are not listed in the electronic BOM. So again, extensive manual analysis of drawings could be necessary to characterize all of the materials contributing to an assembly.
5.2.3 Contract Manufacturing After defining an assembly, some EMs farm out the manufacture of that assembly to other companies. These contract manufacturers are often obligated to follow the EM's component specifications, but in some cases the contract manufacturer can source components from any supplier as long as they fulfill the overall functional specification of the assembly. Therefore, determining the materials going into the assembly would require discussion with the contract manufacturer to learn which components they have specified over time at their own discretion.
5.2.4 Off-the-Shelf Components Off-the-shelf components are components presented for sale on webpages or in catalogs. The EM acquiring the component does not control the material content of the component except to the extent that material information (or material restriction regulation compliance - such as Restriction of Hazardous Substances [RoHS]) is indicated in the catalog, technical specifications, etc. EMs mostly select off-the-shelf parts because of their functional characteristics, such as the component being a 100-k resistor or a programmable logic controller. In most cases, if there is PFAS present in the component, there is no overt indication that it is there unless it happens to be part of the marketing strategy for the component, such as a 3/8-inch polyvinylidene fluoride (PVDF) tee fitting; PTFEcoated 18 American wire gauge (AWG) multistrand black wire, 100C; or PTFE grease.
If the off-the-shelf component is a complex assembly, the specific constituents of that component can change from time to time, with no change to the marketing description or part number from the supplier. An off-the-shelf component with the same name and part number might contain PFAS from a sub-tier supplier in one week of production but not another.
Depending on the particular design methods of the off-the-shelf component supplier, the specific composition of all of their components might not be available - for all of the same reasons already mentioned for the EM. For more complex assemblies, it is unlikely that their own supply chain will be narrow and fixed. Rather, it will be wider to allow for alternate subtier suppliers, and it will change from time to time as opportunities for cost reductions arise, because of company dissolutions and mergers, or other matters.
It's likely that only larger companies will have employees dedicated to materials topics who can comprehend and competently respond to material inquiries, particularly for such a large class of materials as PFAS. Even if such employees are available, customer inquiries do not usually get directed to them initially, since initial cold contacts are usually directed to a salesperson or account team. It can take some time to find the correct person to respond to material questions in any company.
5.2.5 Fundamental Materials All articles find their origin in fundamental chemicals (mixtures and substances). An article manufacturer is only aware of the substances in their articles to the extent that they have been revealed in material information such as safety data sheets (SDSs) from upstream chemical suppliers. Chemical suppliers have a vested interest in keeping as much of their formulation information out of SDSs as regulations will allow. If they were to reveal all of the details of their formulations, they would quickly lose any market advantage.
Either because they have not been classified as hazardous or because they are present in very low quantities, SDS regulations do not require the disclosure of many PFAS-containing materials. Unless
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 17
the chemical being transacted is overtly a PFAS-containing material (such as a PTFE powder or PVDF pellets), there is little information exchanged in the supply chain that would indicate whether a PFAS-containing material is present, especially if the PFAS is a minor constituent of a chemical used to make articles such as a PFAS processing aid or additive.
5.3 Time and Resources Required to Change Components When considering the speed with which a company could switch from using one particular component to another, the following aspects are relevant:
Identifying the new part requires making or modifying drawings. Usually, this involves having a complete commercial description of the part, an image of relevant web pages or catalog PDF pages offering the part, and the part number option codes for the part code/part number being purchased. For example, when purchasing a particular wire type, it may be that the part number specified must include BLU for a blue color or BLK for a black color; or a complex controller listed as an ion gauge must include USB or MSD, indicating its programmability through USB or a micro SD card.
Planning the disposition of existing EM factory stock. Options include disposing of the previous component, returning it, using it until all of the units are gone, and reworking it in some way (for example, by removing the undesired PFAS article within an assembly and replacing it with another article supplied by the OEM). There may be other disposition considerations. All of these choices have both time and financial impacts. The more costly the unit, the more stakeholders in the decision process.
Having a new component supplier go through onboarding, which in the semiconductor industry includes such elements as vetting the vendor to Responsible Business Alliance code of conduct expectations and other socially focused regulations and commitments for fair and humane employment practices.
Applying any relevant regulations to the new component, such as regulations from the European Union (EU), South Korea, Taiwan, China, Japan and the U.S. For example, if the component uses a hazardous voltage, then electric shock and fire safety regulations apply; if the component contains a microprocessor or other high-frequency circuit, then electromagnetic compatibility regulations apply.
Establishing appropriate procurement contracts. Some components are simply purchased off the shelf from a website catalog. Others, however, can be semi-bespoke versions of the off-the-shelf item that include customizations negotiated between the EM and the supplier. Such cases require much more detailed procurement contracts to express all of the terms and conditions of the customization, with legal and engineering reviews on the EM and supplier sides as well.
Dispositioning global warehouses of the EM if the part is a replacement part. Any equipment downtime in the semiconductor industry can represent hundreds to several thousands of dollars of lost production opportunity. Therefore, many EMs keep stores of anticipated replacement parts distributed around the world near their locations or even in end-user facilities. Some equipment end users might keep their own replacement part stocks on hand, particularly when their equipment is no longer under EM warranty. Just like local EM manufacturing stock, it will be necessary to locate all of these remote stocking locations and decide on disposition for the component units on hand. This can sometimes require a surprising amount of manual investigation entailing emails and phone calls, since all of the stocking location databases are not unified.
Modifying every EM-designed assembly drawing to which the component is a part. Assembly drawings show in a series of figures how to assemble the various components in an assembly. These drawings are often accompanied by a longer descriptive assembly document that explains the assembly process step by step, with a series of diagrams and photographs for use on the equipment manufacturing floor known as operations management systems drawings. In addition to these drawings, most companies use a 3D rendition of each component so that they can review
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 18
assemblies made from the components in 3D for sizing, ergonomics and other aspects. Therefore, changing a component in an assembly is likely to require the modification of three types of assembly drawings, some of which require in-process photographs or hand measurements if the component OEM doesn't offer standard 3D computer-aided design images of the component. Redesigning other components of the assembly if the new component has a different electrical or mechanical interface. For example, different mounting holes for a new component could require revising the object to which the component mounts. A new component that uses different electrical connections or has a different arrangement of terminals will require modifications to the interfacing cable assemblies. Renumbering higher-level assemblies to comply with form, fit and function rules. Most EMs have a set of rules that define when a change in a component will allow a revision of the existing component part number (such as changing from 12345-678 revision C to 12345-678 revision D) or require the issue of a new part number. The importance of this decision is that companies usually store parts of different revisions in the same bin, and it does not matter which revision is selected to go into an assembly. The BOM for an assembly usually references part numbers only, not part revisions. Thus, an assembly BOM calling for the revised part does not need modification. If, however, the EM's form, fit and function rules require the issue of new part number when changing a component from PFAS-containing to non-PFAS-containing (all other component features being equal), that change will require revising the immediate assembly part numbers. Likewise, assemblies that incorporate that assembly will also have to get new part numbers and so on, up to the highest-level assembly. This cascading of new part numbers can have further ripple effects on manuals, other assembly documentation and more. Reworking or scrapping immediate assemblies that are also stocked. This concern is similar to the disposition concern of the basic component. It concerns the possibility that beyond stocking the component on its own that an EM might also stock intermediate assemblies that include the component. EMs may do this because it is an efficient way to run operations; the intermediate assemblies may have some demand as replacement parts, and making intermediate assemblies can provide some work for employees when overall product demand declines. Of course, intermediate assemblies have more value than the component alone, so the disposition impacts can be more resource-intensive, requiring revisions to maintenance and service documents. Revising various maintenance and service documents if the component is a replacement part. These documents explain how to remove a failed unit and install a new unit and will have at least a reference to the component part number, as well as photographs, drawings or other media references, even videos. A new component that has a new part number because of form, fit and function rules or any other differing characteristic relevant to its identification or installation/removal process will require revisions to service and maintenance documents and new procedure photos or redrawn illustrations. A component significant to the operation of equipment (directly involved in an operator task, for example) will require revised operation manuals. Redoing or revising equipment safety evaluations if the component has a significant safety role. Many components have a critical role in regulatory evaluations. For example, an AC line filter might be critical to an electromagnetic compatibility evaluation. A polymer-encased cable might be critical to a process chamber lid-lifting assembly evaluation. The size and location of a process chemical tank input port might be critical to a safety evaluation. The related assessment reports will tend to list which components are critical for compliance. Therefore, changes to any critical components will require modifying reports to reflect the change, including engineering rationale as to why the new component is acceptable. If changing many critical components at once, most cases will require redoing assessment testing and inspection, which is generally a significant project requiring the hiring of and coordination with a third-party assessment firm. Conducting process requalification studies if the component has a significant process role in the equipment. Generally speaking, a component has a significant process role in equipment if it comes into contact with any process chemicals or provides control in the process control system
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 19
(such as a process voltage controller, vacuum controller or chemical temperature controller). Because semiconductor processes require precise control, and process disturbances are only detectable as the outcome of several serial processes, changing process-critical parts can require requalification process runs that require thousands of substrates and often take the entire multiprocess chain to its conclusion before it is possible to detect any impacts - translating to many weeks and thousands of dollars of effort. Changing multiple components requires even more effort into requalification experiment definitions, in order to ensure definitive information about the impact of any individual component change as soon as possible. Within the semiconductor industry, equipment suppliers have yet to identify all uses of PFAScontaining materials because of this complexity, as well as the lack of disclosure in the supply chain. Recent restrictions on some PFAS-containing materials, such as PFOA, have led to the release of a limited amount of information. The Semiconductor PFAS Consortium acknowledges the importance of detailed material declarations and is undertaking a project in 2023 to identify the protocols needed to collect better data from the supply chain on substances in articles, thus facilitating better material declarations to end users. The Semiconductor PFAS Consortium has compiled detailed lists of fluoropolymer articles and their general uses, the likely fluoropolymers used, and the unique fluoropolymer characteristics important to the use case based on the current knowledge and operations of participating members (see Appendix B). These lists are not exhaustive, and there may be additional articles and article uses within semiconductor manufacturing not yet captured. 5.3.1 Example Analysis of a Simple Assembly Figure 8 shows the analysis of a simple assembly based on a publicly available BOM from the manufacturer. It contains two fluoropolymers. Note that it is rare to find such detailed information publicly available on the internet. More frequently, companies are quite protective of such details.
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 20
Figure 8: An example of constituents in a simple article (a potentiometer). 6.0 Semiconductor Manufacturing and SMRE Semiconductors are an essential component of thousands of electronic products. Organization for Economic Cooperation and Development (OECD) emissions scenario documents provide an overview of the semiconductor manufacturing process (OECD 2010). The fabrication process (see Figure 9) begins with a blank/bare wafer of semiconductor material, typically silicon, varying in diameter from 150 mm to 300 mm.
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 21
Figure 9: Overall process flow diagram of semiconductor manufacturing (OECD 2010). In the fab, a sequence of 15 to 200 photolithographic, physical and chemical processing steps gradually create electronic circuits and devices on the bare wafer substrate. In logic device manufacturing, the process is often described in two phases: front-end-of-line (FEOL) processing, where the transistor in formed, and back-end-of-line (BEOL) processing, where they interconnect. These ICs are made one layer at a time by depositing a layer on the surface of the wafer and then using patterning and removal processes to take away designated areas of the layer, leaving behind a specific shape. Figure 10 shows a schematic of a microprocessor structure created by repeated steps of material deposition, patterning and other process steps, while Figure 11 shows a cross-section of a 14-nm Intel Broadwell chip, showing the difference in size between FEOL and BEOL microprocessor layers (Bernasconi and Maganin, 2019).
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 22
Figure 10: Schematic representations of microprocessor layers.
Figure 11: Cross-sectional transmission electron microscope image of an Intel Broadwell chip. The following subsections describe the basic process steps used in making semiconductor chips. 6.1 Oxidation Oxidation is a process usually performed at 800C to 1,200C in a quartz tube heated element furnace. It is a batch process (meaning that it is performed on many wafers at once) that diffuses oxygen or water vapor onto the silicon wafer to form a silicon dioxide layer that protects the wafer's surface during subsequent steps. The oxidation process step generally uses fluoropolymers; for applications, see the general SMRE categories in Table B-3. 6.2 Photolithography Photolithography, also known as lithography, is a process that transfers an image of the intended IC pattern onto a wafer that has been precisely coated with photosensitive chemicals. Photolithography can create extremely small patterns, down to a few tens of nanometers in size, with precise control of the shape, size and placement of the images it produces. In one exposure, the patterns create the features of tens of billions of transistors and connecting wiring contained on a modern microprocessor.
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 23
6.2.1 Coating Coating is a process that forms a continuous layer of either photoresist, anti-reflective bottom layer or anti-reflective top layer on a wafer (Ober, Kafer and Deng 2022). The most common method of applying photosensitive chemicals on a wafer is spin coating, a process that injects a photosensitive chemical from a nozzle onto a spinning wafer in order to spread the chemical evenly across. This photoresist often contains PFAS chemicals (Ober, Kafer and Deng 2022). After applying one or more photosensitive layers, the coated wafer is baked in ovens to evaporate the solvent and harden the photosensitive layer in preparation for the exposure process.
6.2.2 Exposure Exposure uses light with wavelengths ranging from 365 nm (the I-line of a mercury discharge lamp) to 248 nm and 193 nm (deep UV radiation from krypton fluoride and argon fluoride lasers, respectively), to 13.5 nm (EUV from plasma formed by irradiation of molten tin) to transfer a master image of the intended pattern contained on a photomask or reticle onto a photoresist-coated wafer. This pattern transfer occurs in an exposure tool, often referred to as a scanner because the image is repeated across the surface of the wafer, defining many repeated devices of a particular type.
The scanner comprises these subsystems: the light source, an illumination system that forms and homogenizes the light beam, a stage performing the scanning movement of the photomask, and a projection lens that images the pattern of the photomask onto the wafer, which is moved by another stage synchronous with the photomask movement. In addition to these specific subsystems, a scanner contains subsystems for handling both photomasks and wafers.
For non-EUV systems, the illuminator and projection lens consist of a stack of 15 to 30 optical elements (mainly lenses or mirrors, which are held in metallic mounts). Because ozone is formed by the irradiation of oxygen (in the air) at wavelengths of 248 nm and below, and to prevent airborne contamination of the optics, illuminators and projection lenses are sealed airtight and purged with ultrapure (99.99999% purity) inert gases such as nitrogen. Fluoropolymers are used in these systems to seal the purged volume from the outside environment, as well as for the electric insulation of sensors and actuators used to actively position individual elements with subnanometer accuracy.
The motion stages are purged with showers of ultra-clean gas and fluoropolymers are used for cables and tubes carrying media (gases, water) and for the mechatronic components there. Actuators with a higher mechanical load and travel distance (which are in the stages and in the optics modules and wafer/photomask handling systems) require lubrication from lubricants containing fluoropolymer powders and perfluorinated ethers as a base liquid.
The need for fluoropolymers resolves the issue of stray UV light in most parts of the scanner, in combination with the ultrapure environment. Conventional polymers degrade in UV light and have much higher outgassing of hydrocarbon compounds than fluoropolymers. UV-induced degradation leads to particle shedding, a catastrophic occurrence (as is true for all semiconductor manufacturing) because even one single particle of 100 nm or below on the wafer during exposure makes the related die useless (A die is a single instance of a particular end device produced simultaneously on a wafer; a wafer comprises hundreds of die, and a single die may contain billions of transistors.).
All scanner types mentioned above project the pattern of the photomask onto a silicon wafer coated with photoresist. The light induces a photochemical change in the exposed regions of the film, creating a solubility differential between the exposed and unexposed material. The use of a pellicle (as shown in Figure 12) avoids the deposition of particles on the photomask.
A pellicle is a thin, transparent membrane made of a fluoropolymer. Even if a particle adheres to the pellicle's surface, it is possible to avoid transferring its image onto the wafer by shifting the position of the pellicle from the focal distance, thus preventing the exposure tool from printing unwanted
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 24
defects. The use of these pellicles is critical to the photolithography exposure process in order to prevent defects, which would occur at a catastrophic rate without its use.
Figure 12: A diagram showing the photolithography scanner both without and with an optical pellicle in place (Mitsui Chemicals America, Inc. 2019).
For optical pellicles to perform as needed without hindering the scanner's function, the membrane must be transparent to light. Membrane materials must also be strong and durable when cast as thin films, and resistant to radiation damage from UV light sources at the 193- and 248-nm (deep ultraviolet [DUV]) wavelengths (Levinson 2005). In these short wavelength bands, it is not possible to use cellulose polymers for G-line (436 nm) and I-line (365 nm) because they absorb light and their durability is insufficient, whereas fluoropolymers do not absorb this light and can therefore be used for long periods. No viable nonfluoropolymer materials currently meet these performance requirements.
Box 2: PFAS-Containing Materials in the Manufacture of Optical Pellicles The manufacturing of optical pellicles requires the use of PFAS solvents in order to create fluoropolymer membranes. Investigations into alternatives are ongoing; however, a pellicle membrane material is a fluoropolymer (very often a copolymer of tetrafluoroethylene and 2,2bis(trifluoromethyl)-4,5-difluoro- 1 ,3-dioxol) that is only soluble in a PFAS solvent; it is insoluble in non-PFAS solvents given the high fluorine content in the polymer. The fluorine content is crucial for a pellicle membrane for high transparency and durability against 193- or 248-nm DUV light. It will be a challenge to find alternative materials that are as stable as the fluoropolymer-based membranes. Therefore, this application currently has no viable nonfluoropolymer substitutes.
6.2.3 Developing The developer step selectively removes exposed or nonexposed regions of resist to create a pattern on the wafer. The developing process is followed by a post-baking step to improve the adhesion of the patterned photosensitive chemical layer, which becomes the mask for subsequent processes such as plasma etching to remove one or more underlying layers. The process tools that perform the coating and developing processes are usually combined and called a coater/developer. A coater/developer uses various fluoropolymer materials for the supply and distribution system of photosensitive materials, because fluoropolymers are inert to the solvents contained in photosensitive chemical formulations, as well as having very low inorganic, organic and particulate contamination potential. A coater/developer also uses fluoropolymers for liquid waste stream piping, filters used in both the delivery of chemicals to tools and at the point of use at the wafer, and exhaust ventilation ducts within process tools to reduce flammable loading of equipment. Fluoropolymers maintain chemical compatibility requirements and are least likely among polymers to degrade in a flammable atmosphere. 6.3 Ion Implantation
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 25
Ion implantation is a low-temperature process that creates a beam of ions from a source material (a solid or gas) and accelerates the ions across high electrical potentials under high vacuum for the purpose of implanting (or injecting) the ions into the surface of a patterned wafer substrate. This process step is used in the production of nearly all semiconductor ICs. Implanters have highly evolved beam control systems (including cutting-edge ion-beam optics and dosimetry) and precise wafer handling to ensure uniform dopant distribution and concentration across the substrate; dopant purity with respect to species and energy state; and the precise formation of process junctions in the substrate via high-resolution voltage control, wafer positioning and dosimetry (Rubin and Poate 2003).
The ion beam's journey includes passing through a magnetic field that turns the beam through an angle, and in so doing selects the charge-mass species intended for implantation into a wafer's surface. Various electrodes and electronic control schemes are used to focus and scan the ion beam. It is important to use extraordinarily low vacuum pressures in order to limit the ion beam's likelihood of colliding with gas molecules in the ion beam path, driving particular demand for vacuum seals and materials in the beam line such as fluoropolymers that are less likely to outgas contaminant substances.
Various high-potential electrical voltages of ten to several hundred thousand volts are present at multiple electrodes in the ion source area, along the beam line, and in the target wafer area. Because of their electrical insulating capabilities, chemical resistance and low outgassing in high-vacuum environments, fluoropolymers play an essential role in implantation systems in vacuum sealing, various high-voltage electrode structures, various beam-line electronics (sensors) and wafer-handling mechanisms. Fluoropolymers are also essential in low-temperature areas of the ion source section of equipment (where ultra-high purity [UHP] solids and gases are used). Complex power supplies, robotics and vacuum control subsystems also depend on fluoropolymers in their electronics.
6.4 Thermal Processes (Diffusion and Annealing) Thermal annealing is used in IC manufacturing for various purposes, including the activation of ionimplanted dopants, the reduction of structural defects and stress, and the formation of silicides. The temperature for annealing processes can vary from 400C to 1,200C, and the process is often conducted in either an inert (nitrogen) or reducing (hydrogen) atmosphere. Historically, thermal annealing was typically performed in a tube furnace with process times of 30 minutes or more. With the continued shrinkage of IC architectures and the need to mitigate undesired dopant diffusion, processes have transitioned to much faster rapid thermal annealing systems, such as flash-lamp and laser annealing systems. These systems heat the wafer in a matter of seconds, milliseconds or even nanoseconds using heat lamps, Xenon flash lamps or lasers.
Fluoropolymers cannot directly support the high temperatures used in the annealing process, but they can be present in lower-temperature portions of annealing equipment in the form of sealing gaskets, gas-handling equipment, wafer-handling surfaces and filtration. Because thermal processes are best for process steps where any organic/inorganic contamination to the wafer's surface (especially after the process) could affect IC characteristics, those lower-temperature components must be made of fluoropolymers and fluoroelastomers to observe wafer environment contaminant quantitative limits; non-PFAS polymers cannot meet the purity requirements (IRDS 2022).
6.5 Etching The etching step chemically removes specific areas of a deposited film, often defined by photolithography, in order to expose an underlying material or deposit another material. Etching may occur as a wet process using solutions of acids, bases or oxidizers, or as a dry process using various gases in a plasma.
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 26
6.5.1 Plasma Etching Plasma etching is a process that uses high-power RF to excite a gas of a certain type to form plasma (a cloud of ions and free electrons mixed together) near the surface of a patterned wafer in a subatmospheric environment. Electrical potentials drive the plasma cloud toward and into the surface of the wafer, thereby chemically modifying the exposed aspects of the pattern.
The formation of plasma also produces other active, electrically neutral chemical species called radicals. These radicals play important roles (both in positive and negative ways) in the etching process. Fluoropolymers are used throughout plasma etching systems. They are particularly fundamental for electrical insulation (especially PTFE) in high-power RF field generation and transmission devices vs. other polymers used in these applications (such as nylon or polyethylene) because of their electrical properties, such as a low dielectric constant and dielectric loss tangent (also known as dissipation factor), and their relatively small variation with frequency.
Table 2 compares these properties in polyethylene, nylon and PTFE. These characteristics, in addition to a wide temperature range, make PTFE-sheathed coaxial cables (for example) suitable for RF power distribution in plasma etching equipment.
Table 2: Comparing certain electrical characteristics among varieties of polyethylene, nylon and PTFE (Team Xometry 2022).
Polymer High-Density Polyethylene
Dielectric Constant
(Lower Value Preferred)
Minimum Maximum
Value
Value
2.30
2.30
Dielectric Loss Tangent
(Lower Value Preferred)
Minimum Maximum
Value
Value
3.0E-4
20.0E-4
Low-Density Polyethylene
2.30
2.30
3.0E-4
4.0E-4
Polyamide* 6 (Nylon)
4.00
5.00
100.0E-4
600.0E-4
Polyamide* 6-10 (Nylon)
3.00
4.00
400.0E-4
400.0E-4
Polyamide* 6-6 (Nylon)
4.00
5.00
100.0E-4
600.0E-4
PTFE
2.10
2.10
2.0E-4
2.0E-4
(*Polyamide is the technical name for nylon. There are several types of nylon. The most popular are Nylon 6 and Nylon 6-6.)
Fluoropolymers are important for the mechanical parts exposed to atmospheres in the plasma environment - the complex gas systems used in plasma etchers also depend heavily on fluoropolymers because of their chemical resistance. For example, fluoroelastomer seals maintain the vacuum environment within the plasma chamber and seal off the process fluids from escaping to the outside environment or an adjacent vacuum chamber. Fluoroelastomer seals are resistant to attack from various radical species that would erode other elastomer types much faster and cause them to lose integrity, as discussed in The Use of Fluoropolymers for Seals and Gaskets in SMRE section.
6.5.2 Wet-Etch and Chemical Cleaning Processes The manufacture of ICs requires contamination removal and surface preparation to enable high yields. Other process steps use wet chemicals to remove material films. Wet-etch and cleaning processes occur in either an immersion-type batch wet station handling up to 50 wafers at a time or a singlewafer spin processor handling one wafer at a time.
In either case, given their continued exposure to aggressive chemicals, the materials for the construction of wet-etch and cleaning equipment and the chemical delivery and filtration systems must be compatible with the process chemicals they deliver, and not contaminate the system with particles, metals or dissolved organics. Additionally, construction materials must also meet fire safety standards such as the FM 4910 flammability standard. The process chemicals include a wide variety of fluids such as strong acids, bases, oxidizers, organic solvents and mixtures thereof.
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 27
Examples of construction materials used for wet-etch and cleaning equipment are perfluoroalkoxy copolymer (PFA) and PTFE. Polyvinylchloride (PVC) has been shown to shed particles, so it is not suitable and is also not compatible with organic solvents (Burkhart, et al. 2003).
Polypropylene (PP) is not suitable in oxidizing environments such as ozonated water, hydrogen peroxide and nitric acid. Prolonged contact with these media will lead to mechanical disintegration and particle shedding. Additionally, both PVC and PP are not compliant with the FM 4910 standard.
6.6 Deposition and Metallization Deposition is the process of laying down a thin film of material on the surface of a wafer in process. After deposition, other process steps remove the deposited material from areas where it is not needed. Semiconductor manufacturing requires many deposition steps for purposes such as forming conductive traces; insulation areas; or specific aspects of microscopic transistors, capacitors and resistors. Let's look at several types of deposition, differentiated by aspects of the deposition process.
6.6.1 CVD Chemical vapor deposition (CVD) is a process in which the exposure of a substrate to one or more volatile precursors (usually as gases) leads to reactions or decomposing on the substrate's surface to produce a thin film of solid material.
CVD processes are used in applications ranging from patterning films to insulation materials in transistor structures and between the layers of conducting metal that form the electrical circuit. CVD processes are also important in strain engineering, which uses compressive or tensile stress films to enhance transistor performance through improved conductivity.
Because of their dielectric strength, high tracking index and nonflammable characteristics, fluoropolymers are ideal for many applications in CVD tools. For example, the backing plate gasket or insulator used in plasma-enhanced CVD equipment is made of PTFE materials.
6.6.2 PVD Physical vapor deposition (PVD) is a process that sputters atoms of conducting material (such as aluminum or titanium nitride) from a target of pure material for depositing on the substrate to create conducting circuitry within an IC or flat panel display (FPD).
PVD processes create ultra-thin, ultra-pure metallic and transition-metal nitride films for a variety of logic and memory applications in semiconductor manufacturing. The most common PVD applications are aluminum slab and bond-pad metallization, titanium and titanium nitride liners; barrier deposition; and copper-barrier seed deposition for interconnect metallization. PVD requires a high-vacuum platform in order to integrate the process with degassing and surface pre-treatment technologies, which achieves the best interface and film quality.
Because of their dielectric strength, high tracking index and nonflammable characteristics, fluoropolymers are ideal in PVD tools. For example, the insulated cable jacket used in PVD equipment is made of PTFE materials. The coaxial cable is made of PTFE/PVDF, the O-rings are made of FKM/FFKM, and the insulated wire is made of fluorinated ethylene propylene (FEP).
6.6.3 ALD Atomic layer deposition (ALD) is a thin-film technique based on the sequential use of gas-phase chemicals. ALD entails growing a film on a substrate by exposing its surface to alternate gaseous species, typically referred to as precursors or reactants. In contrast to CVD, the precursors are not present simultaneously in the process chamber, but are injected as a series of sequential, nonoverlapping pulses. In each of these pulses, the precursor molecules react with the wafer surface in a self-limiting way. Consequently, the nature of the precursor/surface interaction determines the maximum amount of material deposited on the surface after a single exposure to all of the precursors, known as an ALD cycle (Oviroh, et al. 2019); (Puurunen 2005).
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 28
By varying the number of cycles, it is possible to grow materials uniformly with high precision on arbitrarily complex and large substrates. The ALD process chamber is vacuum-based and must employ fluoropolymers for process steps where any organic or inorganic contamination of the wafer's surface, especially after the process, could affect IC characteristics. 6.6.4 ECD Electrochemical deposition (ECD), also known as plating, is a set of processes that deposit copper and other metals on wafers in process to create electrical connections used in advanced wafer-level packaging (WLP) and through-silicon via (TSV) structures, among other electrical connections. The materials deposited with ECD include tin, tin alloys, nickel, copper, silver and gold. The ECD process requires a target material anode, a plating bath to transport the generated ions and the object being plated (the wafer). Because the plating bath can react with the anode material, shortening system lifetimes and resulting in inefficient use of the specialized chemicals present in the plating bath, semiconductor manufacturing usually surrounds an anode with its own electrolyte solution. The membrane that separates the anode electrolyte from the more specialized plating bath is often composed of a perfluorinated ion-exchange membrane reinforced with PTFE woven fabric (Yang and Kovarsky 2006). Electroplating baths have low pH conditions and several additives in anode and cathode chambers, resulting in very corrosive conditions. There is also a pressure gradient across the separator during the electroplating process, which increases both mechanical stress and chemical degradation rates. Reinforced perfluorosulfonic acid (PFSA) membranes provide ion permeability and thermal, mechanical and chemical stability, which hydrocarbon ion exchange membranes cannot provide. The ECD process chamber shown in Figure 13 includes many fluid sensing and transport items that must be able to withstand chemical degradation. These assemblies use fluoropolymers extensively.
Figure 13: Schematic of an ECD process chamber.
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 29
6.7 CMP At various stages in the semiconductor manufacturing process, the wafer's surface must be perfectly planarized in order to create a completely flat foundation for adding the next layer of circuit features. To do this, manufacturers use a process called chemical-mechanical planarization (CMP).
CMP removes and planarizes excess material on the wafer's front surface by applying precise downforce across the backside of the wafer and pressing the front surface against a rotating pad of special material that contains a mixture of chemicals and abrasives. To ensure the even distribution of material across the entire wafer, the process must apply varying amounts of downforce during material removal, while stopping at the right point to avoid polishing away critical underlying features that would render the IC ineffective. This process allows subsequent photolithography patterning and material deposition steps to occur with greater accuracy, resulting in more uniform film layers with minimal thickness variations.
CMP polishers may have fluoropolymer coatings on the polisher platens to enable the easy removal of the rotating pads. Similarly, if rotating pads were manufactured using a molding process, the molds may have been coated with fluoropolymers to aid in the mold release process; silicones are not an option for this application. CMP pads may also contain PFAS because of the harsh environment in which they are used. Polishers have other components such as O-rings and gaskets containing fluoropolymers.
6.8 Wafer and Mask Metrology and Analytics Checking the quality of structure on the wafer requires dedicated metrology machines. One example is overlay measurement, which checks for of the accuracy of how two layers of a structure match together, which has to be accurate to less than 2 nm (Patel 2023). Analytics on this scale employ critical dimension scanning electron microscopes (CD-SEMs) for imaging.
Electron microscopes must operate at ultra-high vacuum levels and require a multitude of parts set to enable high-voltage conditions. Electron beam irradiation is converting hydrocarbon contamination into layers of carbon. Any molecular contamination can disturb the measurement result and add unwanted structures on the wafer. Postek and Vladar observed similar effects when measuring the accuracy of photomasks using SEMs (Postek and Vladar 2015).
To maintain a low hydrocarbon partial pressure in such systems requires selecting seals and electrical isolators made from very low outgassing materials. Because of volume restrictions and moving parts, it is not possible to make all seals or isolators with inorganic materials such as copper or ceramics. For flexible materials, fluoropolymer-based materials such as PTFE, FKM or FFKM have the lowest outgassing rates and the highest stability when irradiated by electrons or UV light (Peacock 1980).
The same considerations also apply to review SEMs, which are SEMs with less resolution but much higher throughput. Review SEMs can detect particles or similar defects by comparing two images of a disturbed and undisturbed structure. Any particle that falls during this inspection, including wafer handling (loading, pumping and positioning) would lead to rejection of the die and therefore a lower yield in the semiconductor manufacturing process.
Most metrology tools use fluoropolymers such as polychlorotrifluoroethylene (PCTFE) and PFA on wafer-handling parts, since the high purity and low particle generation of these materials avoids wafer contamination with particles and metals.
UV light techniques for reviewing wafers result in the same effects observed in lithographic scanners, and for the same reasons must use fluoropolymer products in UV-exposed parts.
6.9 Far BEOL After FEOL and BEOL processing, wafers are sometimes prepared for packaging using far-back-endof-line (FBEOL) manufacturing steps. FBEOL processing generally includes steps similar to those
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 30
performed in BEOL, such as photolithography, deposition and etch, albeit at larger dimensions. As such, FBEOL processing requires the same level of PFAS-containing articles described in the previous sections. One process step unique to FBEOL fab manufacturing is wafer bump, also known as controlled collapse chip connect (C4), post passivation, global or copper interconnect (global IC), or flip chip (FC). The wafer bump process - which sometimes occurs at fabs and sometimes occurs at assembly, test and packaging facilities - entails attaching metal connection bumps or pillars to the semiconductor wafer in order to then connect the wafer to the semiconductor package. FBEOL fab bump processes use similar corrosive and organic chemistries to the processes described above, and thus have similar equipment requirements. 6.10 Assembly, Test and Packaging FC assembly technology, developed by IBM in the 1960s, is widely used in high-performance ICs in computer, military, mobile and automotive applications (Wikipedia 2022). The use of metallic bumps shorten electrical connections between the substrate and chip and reduce latency issues compared to wire bonding. As shown in Figure 14, FC is an area array bonding, which allows high input/output (I/O) density within a single die and thus drives the semiconductor scaling process. Over decades, the number of interconnections within FC ICs has increased from hundreds to half a million bump joints. For achieving such massive high I/O counts, bump size and bump pitch play a significant role, and face technology challenges.
Figure 14: A 2.5D/3D system architecture with High Bandwidth Memory 3. Copper microbumps connect interposers and base dies. Microbumps are also used in die-to-die
connections (Lapedus 2021). The most recent chip interconnection bump technology is 30 m. Each FC generation has unique bonding technology and encapsulation materials to make different assembly packages and form factors. The thousands of bumps in the IC are bonded onto ceramic or organic substrates using an FC bonder with fluxing and reflow soldering processes. Flux cleaning removes flux residue, followed by an underfill or mold encapsulation process to protect the solder connections (Tsai, et al. 2017). Semiconductor assembly, test and packaging processes consist of dicing completed wafers into individual die and then sorting them to identify any that are nonfunctional. Functional die then undergo a series of manufacturing steps to create the electrical connections necessary for the IC to function, including being electrically connected (soldered) to a frame, substrate, PCB or other material. PFAS-containing chemicals can help control flux spread during high-temperature exposure, so that the flux can remain in the solder joint area during soldering and improve the solder joint quality and yield. The semiconductor manufacturing equipment that performs assembly, test and packaging processes integrates certain fluoropolymers. For example, integrating PTFE as an additive to other plastics
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 31
reduces friction and particle creation by providing the functionality of a solid lubricant. In another example, maintaining high- or low-temperature conditions to test package viability and ensure functionality requires heat transfer fluids housed in supporting loops that require PTFE tubing, valves and couplers, along with FKM seals and gaskets.
6.11 General Aspects of SMRE The wafer fabrication steps shown in Figure 9 are conducted in manufacturing process equipment (tools) that perform processes. The following subsections describe the common systems, subsystems and design features of all SMRE, in addition to their specific process objectives.
6.11.1 Reusable Wafer Protection for Transport Between Equipment or Into or Out of a Fab As wafers in process travel from one SMRE to the next SMRE via automated material handling systems (AMHSs), they must be kept in very clean wafer carriers such as a FOUP (shown in Figure 15a) in order to prevent contamination and provide a controlled environment. The delivery of virgin wafers to a fab or from the fab after processing uses a different type of carrier known as a front opening shipping box (FOSB) (shown in Figure 15b), which provides a safe, clean way to transport wafers over long distances. These carriers must interface with AMHSs and equipment front-end modules (EFEMs), providing the loading point to SMRE with effective seals to prevent the introduction of foreign material. Fluoroelastomers are typical materials of choice for these seals because of their chemical resistance and low propensity to outgas or shed particles.
(a)
(b)
Figure 15: A FOUP (a) and FOSB (b) (SpectraTM FOUP and SB300 FOSB wafer carrier photos provided courtesy of Entegris Inc.).
6.11.2 Cassette Loading Stations All SMRE have ports or stations to load wafer cassettes. Some of these are simple stations with mechanical features that precisely orient the cassette; others target more sophisticated FOUPs and have automated FOUP docking and opening mechanisms. Particulate control is particularly important in these stations and they have many electronic features, such as optical and mechanical sensor systems, small actuators, attachments for clean nitrogen connections, and other aspects that are likely to contain fluoropolymers.
6.11.3 Wafer-Handling Mechanisms Because most SMRE processes take place in process chambers that must be isolated from clean rooms because of the chemicals and pressures used or other hazards, a transportation system carries the
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 32
wafers from their FOUPs to the process chamber. Multiaxis wafer-handling robots and other discrete wafer-handling mechanisms such as clamps, lift pins and shuttles move the wafers into or between the process chambers.
Of particular importance are the surfaces that make contact with the wafers. These surfaces must be easy to clean, particle-free and resistant to any chemicals that remain on the wafer after a processing step. Many contact pads and points and control electronics, sensors and motors all tend to contain some PFAS-containing materials, either as primary fluoropolymers or as a residual material in nonPFAS-containing polymers. Wafer handling that occurs in low vacuum conditions employs fluoroelastomer seals and fluoropolymer-based mechanism greases.
6.11.4 Vacuum Systems Many semiconductor manufacturing processes, including etching, deposition and implantation, take place in process chambers at subatmospheric pressures. Therefore, many SMRE has a variety of vacuum systems. There are usually vacuum pumps dedicated to one or more process chambers, vacuum pumps that service transition chambers (called load locks) for the wafers coming from or exiting the ambient factory atmosphere, and vacuum pumps that service intermediate chambers such as those with in-vacuum robots that move wafers from a load lock to a process chamber.
Vacuum technologies vary depending on the gases present, the level of vacuum required and the pumping speed. Pump types include simple diaphragm pumps servicing the differential pressure seals in a vacuum chamber's atmosphere and end-effector mechanisms, to large and fast mechanical pumps for load locks, to turbomolecular pumps for moderate vacuum levels, to cryogenic pumps for very high vacuum levels at very low pressures. Turbomolecular pumps and cryogenic pumps are particularly complex systems with sophisticated control computers. Monitoring vacuum levels requires a variety of vacuum gauges, including thermocouple-based and ion transfer-based sensors, which in turn require sophisticated computers to operate.
PFAS-containing articles will be present in the general electronics of these systems, but there are also some unique PFAS uses. For example:
PTFE is a preferred material for seating glass ion gauges in their vacuum connection ports. PFAS-containing materials are present in many vacuum pump oils (for more information, see the
Semiconductor PFAS Consortium white paper, "PFAS-Containing Lubricants Used in Semiconductor Manufacturing"). O-ring seals made from fluoroelastomers such as FKM and FFKM are widely used in vacuum chambers at locations that must be opened or removed frequently, such as lids or doors. Metal seals such as those made from copper would not suitable because they contaminate processes. Other elastomers have higher outgassing or higher permeation, resulting in higher partial pressures of residual gases that interfere with processes in the chamber. Running vacuum pumps at high temperatures to keep hazardous substances in the effluent stream from condensing requires fluoroelastomer seals that are best suited to harsh chemicals as well as high temperatures.
6.11.5 General Electronics Beside the systems and processes already described, SMRE will have several hundred or more general electronic devices and assemblies ranging from hundreds of feet of low-voltage and powerdistribution wiring to temperature, position, optical, tilt, door, weight, pressure or other sensors. There will be mass flow controllers, computers, microcomputers, interface computers, valve controllers, power supplies, pump controllers, interlock boards and so on. These general electronics will comprise both off-the-shelf and custom designs and all will contain some sort of PFAS, whether in PTFE cable ties or cable-management devices, high-temperature wire insulation, various batteries for data retention and voltage sag ride-through, small mechanical items such as a shim in a potentiometer, PCB sealants, and inks used on all manner of items. As discussed earlier, when an SMRE supplier purchases an electronic assembly off the shelf, they do not control the BOM for that assembly, and are
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 33
usually not made aware of the specific electronic components present in the assembly. The types of assemblies acquired range from very standard designs to leading-edge electronics. 6.11.5.1 Capacitors Found in many SMRE, many types of capacitors depend on PFAS-containing materials. One of the more common use cases has PTFE as the dielectric film separating capacitor plates, particularly for capacitors that must operate in higher ambient temperatures (Electrocube 2023); (Digi-Key Electronics 2023). Figure 16 shows a slightly narrower capacitor use case: the placement of a very small PTFE washer in a tantalum-manganese surface-mount device (SMD) capacitor to prevent the migration of manganese dioxide along the tantalum wire (Saint-Gobain Composite Solutions 2023).
Figure 16: Magnified image of a capacitor (Brunette 2018). Capacitor PFAS use cases also include somewhat unusual PFAS-containing materials, such as PVDFtrifluoroethylene (TrFE)-chlorofluoroethylene (CFE) as a component of the dielectric, which has a high dielectric constant and other factors that provide for high-energy-density capacitors (Pedroli, et al. 2020). 6.11.5.2 Ferroelectrics The PFAS-containing materials that enable higher energy density in some capacitors are a class of materials known as ferroelectric polymers. Ferroelectric polymers, in particular PVDF and its copolymers, have attracted increasing interest because of their exceptionally excellent dielectric, piezoelectric, pyroelectric, ferroelectric and electro-optic properties. PVDF-TrFE copolymers, found in thin-film organic electric devices such as nonvolatile memories, ferroelectric field-effect transistors (FETs) and plastic solar cells (Chen, et al. 2013) are the most well-studied organic ferroelectrics because of their large spontaneous polarization and excellent polarization stability. Ferroelectric RAM is both a device manufactured by the semiconductor industry and a device that could be present in one of the many controllers used in SMRE. 6.11.5.3 Batteries Many SMRE components contain batteries for data retention and voltage sag or power loss ridethrough. In general, batteries embedded in components are trending toward smaller sizes and higher energy densities. Newer battery technologies such as lithium-ion batteries contain fluoropolymers as binders and coatings (Solvay 2023). Additionally, because SMRE requires very precise mechanical setup and other considerations regarding wafer condition, it is often necessary to put instrumented metrology wafers through the equipment to determine or confirm various parameters (temperature,
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 34
vibration, mechanical gap) (CyberOptics 2022). These metrology wafers must have very compact onboard power sources, which are usually specialized lithium-ion batteries.
6.11.5.4 Potentiometers Potentiometers are common in essentially any controller or monitor that requires some sort of adjustment. In these devices PTFE provides smooth mechanical action, and is contained in the ink used for the resistive element (Bourns 2006).
6.11.5.5 Sensors To monitor process conditions, sensors are often immersed in the process area of SMRE. Because of their exposure to harsh process conditions or the need to keep them from contaminating the process area, such sensors are usually encapsulated in a fluoropolymer. Examples include fluid conductivity sensors, level sensors, proximity sensors, ozone sensors, RF sensors and photo sensors (Hach 2023).
6.11.6 Pneumatic Systems Most SMRE has electronically piloted pneumatic valves that seal off hazardous, subatmospheric pressure or super-atmospheric pressure process chambers during processing, or when hazardous and benign gases (nitrogen and clean, dry air) flow in the equipment. These systems tend not to depend on PFAS-containing polymers for primary containment of the gas and use non-PFAS polymers instead. Nonetheless, those non-PFAS polymers might contain PFAS polymer processing aids or tubing machining additives. These systems certainly contain many elastomeric seals such as O-rings that contain fluoroelastomers.
6.11.7 Process Chemical Delivery Systems Most SMRE has processes that require the intake, pressure management, filtration, mixing/mixing prevention and intra-equipment delivery of a variety of caustic, corrosive, toxic or otherwise hazardous chemicals. If metals are not required or not possible for primary containment, process chemical delivery systems will use fluoropolymers because of their excellent chemical resistance and mechanical flexibility, among other PFAS-related characteristics. PVDF and PFA are common in these applications. When using metals or fluoropolymers for primary containment, fluoroelastomer seals play a significant role.
6.11.8 Inks All electronic components and many mechanical components carry some sort of ink, whether it is to mark connection terminals, display critical ratings or carry the manufacturer's logo or brand name. Inks use PFAS-containing materials. A Royal Society overview of PFAS lists nearly 50 polymer and nonpolymer PFAS-containing materials patented for or used in printing inks (Glge, et al. 2020). Some component inks must withstand mechanical and electrical stresses, and flow characteristics provided by surfactants are likely also important. It is not yet known how prevalent PFAS-containing inks might be in electronic or other equipment components (although it is a reasonable suspicion) and whether it is possible to use alternate substances.
7.0 Facility Infrastructure A fab can vary in size from a few thousand square feet for research and development labs to 2.3 million-square-foot megafabs such as TSMC's fab in Arizona (NASA Glenn Research Center 2022); (Tarasov 2021). Regardless of facility size, fluoropolymer articles are necessary.
Fabs combine clean-room spaces that house manufacturing tools and support spaces that house the many electrical, mechanical and chemical systems supporting manufacturing tools (see Figure 17). The fab can be divided between clean-room and support space in a number of ways, including bayand-chase designs where the support space surrounds the clean-room space horizontally or a so-called ballroom-type design where the clean-room space consists of one or more very large rooms housing hundreds of individual manufacturing tools, with ancillary support systems provided primarily from below (at subfab and utility levels) and above (at interstitial and fan deck levels).
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 35
Figure 17: Example semiconductor manufacturing facility layout (CPS and Exyte Group Company 2019).
A semiconductor facility can have over 50,000 m2 (600,000 ft2) of production space in a fab (Mearian 2022) and a campus may have two to four fabs. Each fab can require well over an additional 30,000 m2 (330,000 ft2) of support buildings and systems (Northwest Labor Press 2010). These support buildings house reverse-osmosis and UPW systems, boilers, waste collection and treatment systems, high-purity chemical and gas storage, and any other infrastructure necessary. A fab such as the one shown in Figure 18 usually comprises hundreds of different systems and thousands of monitoring and control points to control temperature, humidity and air flow in the fab and clean room, as well as to monitor quality and performance data for all materials that touch the wafer, such as UPW and UHP chemicals and gases. They also monitor the on-site plants that produce the gases, water and compressed air, and control electrical equipment and exhaust systems.
Figure 18: A semiconductor manufacturing facility (Intel 2017). A state-of-the-art fab of requires capital expenditures roughly between $5 billion (for an advanced analog fab) and $20 billion (for advanced logic and memory fabs), including the land, building and equipment (Hamblen 2022). This is significantly higher than the estimated cost of a next-generation aircraft carrier ($13 billion) or a new nuclear power plant ($4 billion to $8 billion). The industry and its insurance carriers take great pains to protect facilities and minimize losses. Fires/explosions, fluid leakage and critical service interruptions are the primary threats to safe and continuous fab operations (FM Global 2021). According to Matt Wyman, CEO and chief technology
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 36
officer of Koetter Fire Protection International LLC, "Any kind of fire can quickly become a potentially catastrophic scenario in a clean room due to the amount of equipment and product that is exposed to fire and smoke contamination" (Manufacturing Tomorrow 2022). Many semiconductor manufacturers, as well as a major insurer of the industry, FM, limit the amount of combustible material that can be present in a tool to 1 lb. per sq. ft. to reduce flammable loading (FM Global 2021).
Manufacturing ICs at nanoscale levels require strict contamination control to achieve acceptable yields. Contaminant sources include the fab environment; chemicals, gases and UPW that touch the wafer's surface; packaging and delivery systems for these substances, and elements of SMRE. For more information about the importance of contamination control, see the Semiconductor PFAS Consortium white paper, "Background on Semiconductor Manufacturing and PFAS."
7.1 Wafer Fabrication Facility PFAS materials, in particular fluoropolymers, play a critical role in affording the level of cleanliness required for high-yield, high-reliability semiconductor ICs, as they are often the only materials that possess the unique combination of chemical inertness, heat resistance, lack of particle shedding or metal leaching, and processability.
Fluoropolymers such as PFA and PTFE are the only materials inert enough to withstand (in other words, not degrade or corrode) the extremely aggressive process environments in a wafer fabrication facility (such as 180C sulfuric acid with hydrogen peroxide or ozonated UPW).
A wafer fab contains miles of PVDF pipe, PFA tubing and ethylene chlorotrifluoroethylene (ECTFE) waste-collection pipe, along with thousands of FKM and FFKM O-rings and fluoropolymer-protected sensors, PFA and PTFE filters, and PTFE-lined ductwork. Generally, these materials have service lives greater than 25 years. They are expensive materials, so material selection is important.
7.2 Exhaust Collection and Abatement Semiconductor fab and assembly, test and packaging plants employ various systems to safely collect and manage exhaust from manufacturing and support equipment. These exhaust collection systems:
Meet location-specific fire code and safety requirements for hazardous occupancy ventilation related to the protection of workers and tools.
Meet location-specific environmental control and release requirements for air pollutants. Remove inert gases and heat loads to ensure the effective and safe operation of manufacturing
equipment and to prevent contamination. Maintain static pressures within manufacturing equipment to meet processing reliability needs. Sustain compressed gas and bulk chemistry distribution equipment ventilation standards.
The main components of any exhaust collection and distribution system consist of ductwork, fans, pumps and possibly treatment technologies. Exhaust construction materials should be chemically resistant to prevent corrosion and the release of exhaust, as well as for fire safety (Rocca 2007). Examples of materials used for exhaust and air-abatement systems include fluoropolymer-lined stainless-steel pipe, PVDF and PTFE.
Figure 19 shows the typical allowable construction materials in an exhaust system. Figure 20 shows some of the various components used to realize the construction, and Figure 21 illustrates a few of those components. For fluoropolymer use and requirements by system type, see Table B-4 in Appendix B. Using ECTFE- or PTFE-lined stainless-steel ductwork helps ensure safe conditions within fab and assembly, test and packaging operations, especially in high-heat, chemically corrosive and chemically unstable environments. Fire codes in most locations require noncombustible materials in the construction of exhaust ductwork, an inspector-approved duct system, or protection with sprinklers, with the latter the least preferable (National Fire Protection Association 2022). Since the
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 37
exhaust system is handling flammable and corrosive gases, metal ductwork needs to be coated in order to ensure its resistance to combustion and corrosion. Alternative materials of construction for large duct sizes (greater than 36-inches in diameter), such as FRP, require the installation of in-duct sprinklers for fire protection.
Figure 19: An example exhaust system layout and some allowable materials within a semiconductor manufacturing plant (Image courtesy of GlobalFoundries).
Abatement technologies treat highly reactive and corrosive gases such as fluorine and chlorine by converting them to hydrogen fluoride and hydrogen chloride, respectively. They are still corrosive but very soluble and easily wet scrubbed. Abatement systems must comprise components that are compatible with both the chemical and thermal environments where they are present. Manufacturers must also comply with fire regulations; for example, polymeric materials must meet regulatory criteria such as Chapter 9 of the National Fire Protection Association (NFPA) 318 Standard for the Protection of Semiconductor Fabrication Facilities, which is the standard for materials used in clean-room exhaust applications. To satisfy these needs, many abatement products contain these materials: PTFE PFA. FKM. FFKM. PVDF. Fiberglass (FRP) (also known as glass reinforced plastic), which requires the use of in-duct
sprinklers for fire protection. Other common polymers, such as PVC, are undesirable for environmental reasons. PVC is nonbiodegradable and may release toxic compounds like dioxin if incinerated (The European Council of Vinyl Manufacturers 2021). Simple hydrocarbon polymers cannot fulfill the necessary chemical stability and fire resistance properties.
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 38
Figure 20: Example exhaust system component types (Fab-Tech 2023). Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 39
Figure 21: Exhaust system components used for corrosive chemicals such as acid and hydrogen fluoride gas (image on the left courtesy of GlobalFoundries) (Fab-Tech 2023).
7.2.1 Point-of-Use Abatement Waste gas-abatement systems or scrubbers treat process gases and exhaust from SMRE. Technologies such as burn/wet systems, wet scrubber, pyrolysis and electrostatic filters remove flammable, corrosive, reactive, toxic and/or pyrophoric gases before releasing exhaust to the ambient atmosphere. Scrubber systems use fluoropolymer components extensively - FKM for O-ring seals, special fluoroelastomers for elevated temperature operations, and PTFE-based materials for tubing and seals in valves and other components. Metallic components exposed to corrosive gas in moist conditions are often coated with ECTFE to provide corrosion protection. Some components use PVDF as a base material because of its chemical resistance, high temperature stability and good mechanical properties. 7.3 Chemical Waste and Wastewater Various fab and assembly, test and packaging manufacturing processes generate chemical waste and wastewater. The largest-volume process waste streams include industrial wastewater containing mixed acids, bases and water-soluble solvents, as well as UPW rinses and corrosive wastes such as sulfuric-hydrogen peroxide and hydrofluoric acid-ammonium fluoride. These aqueous waste streams, along with copper plating and CMP waste streams, require segregation and treatment before discharging aqueous effluent to a municipal treatment facility, as shown in Figure 22.
Figure 22: Example corrosive, copper-bearing and industrial wastewater flowchart.
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 40
A fab's architectural and engineering design firms will choose the construction materials for waste collection and treatment systems to ensure compatibility with waste streams and to prevent human exposure or environmental release. In some instances, the risks posed by process chemicals are the same as for waste. For example, spent concentrated sulfuric acid solutions with hydrogen peroxide, often used at elevated temperatures, and spent hydrofluoric acid pose similar chemical hazards as the pure products. In some cases, the risk is lessened because dilution occurs during manufacturing. In other cases, the risk may be higher because waste is a mixture of multiple inputs that make processing more complicated. Fluoropolymers are unique in that they do not react with the chemicals being transported and provide a suitable solution for these applications. Table B-4 in Appendix B identifies specific waste and wastewater applications and the selected fluoropolymers. 7.4 Process and Facility Measurement Devices In both tools and facility systems, measurement devices sense pressure, flow, levels or temperature, as well as some quality aspects. Any sensor touching a fluid (UPW or a UHP chemical or UHP gas) must be inert so that it does not degrade during use, which would require maintenance (system or tool downtime), expose humans to hazardous chemicals, or contribute breakdown products to the media that can negatively impact yields. Measurement devices are often located in high-temperature environments with aggressive chemicals and reaction byproducts. Measurement devices have the same requirements as waste systems, with the main drivers in material choice being chemical compatibility and inertness (chemical resistance). The measurement device has to function reliably over long periods of time to assure that the systems themselves are functioning properly, thus minimizing human exposure during maintenance or repair. Measurements generally take place in a flowing stream or a container. In instances where it is possible to separate the measurement device from the stream, the separation device must have the same characteristics of inertness as the construction materials. Fluoropolymer components and coatings provide the necessary functionality and reliability needed for measurement applications. 8.0 Semiconductor Equipment and Infrastructure Lifetimes SMRE and SFE can have lifetimes ranging from 20 to 30 or more years. ASML, the world's largest SMRE manufacturer, reported that since 1985 more than 96% of its systems are still in production (see Figure 23) (Foquet 2022). This is in part attributable to the protection afforded by fluoropolymer materials, and because ASML replaces parts with those that are approved and do not require extensive revalidation.
Figure 23: More than 96% of ASML's tools are still in use (Foquet 2022).
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 41
Semiconductor equipment is generally very expensive; most equipment costs several million to more than $100 million. A large portion of that cost covers the development of novel engineering approaches to technical challenges rather than the material cost of the components. Therefore, the useful lifetime of an equipment unit depends mainly on the semiconductor technology nodes that it supports, rather than any inherent aspect of the equipment.
For example, as long as there is demand for specialty low-tech chips, the demand for equipment to produce those chips - part of a node developed 10 or 20 years ago - will remain. While the equipment unit as a whole has a long service life, this equipment is maintained by a constant flux of replacement parts. Therefore, extending equipment lifetimes through regular maintenance is prudent.
A key aspect of semiconductor manufacturing equipment is its throughput - how many work pieces (wafers or semiconductor ICs) can the equipment process per hour. Throughput depends in part on the speed of wafer-handling robots, which in turn depends on the precision and signal stability of encoders in the robot processing the position signals they're capturing. Therefore, a piece of SMRE might not be meeting performance specifications because an encoder cannot reliably report its position at the desired handling speed. This is not failure of a component per se - it is perhaps a relationship to the overall process impact. In such cases, the encoder might be replaced, or, depending on the warranty of the robot, the whole robot might be replaced.
Consider this scenario a specification failure rather than functional failure, where:
Functional failure means that the component has broken and cannot perform the function it was expected to perform (for example, a broken robot component).
Specification failure means that the component is operating as intended but is not allowing the overall SMRE or SFE to meet its performance specifications (for example, a robot that cannot meet throughput time requirements).
Not only is the useful lifetime of equipment long, but demand for older processing technologies is also still high. As technology develops, advanced high-tech companies usually replace equipment first, leaving other companies in the industry using older processes and equipment. There is significant demand for used equipment, enabling companies employing older technologies to continue producing and contributing, even if the processes are no longer state of the art. Much of the equipment used to manufacture semiconductors is substantially cannibalized at its end of life to extract component and major subassemblies for resale as replacement parts, either for the same sort of equipment model, or a largely different model that happens to have the same component in common.
Because SMRE lasts so long, replacement parts are a significant aspect of semiconductor manufacturing. In most PFAS regulations, a replacement part is considered in scope, which must conform to relevant restrictions unless that restriction particularly exempts or derogates replacement parts. Replacement parts can run from very small (such as a fuse or safety label) to very large (such as a wafer-handling robot or beam analysis magnet).
Changing a replacement part to be free of fluoropolymers may involve changing parts within the component that interface with other aspects of the equipment. Therefore, changing the component to remove or substitute its fluoropolymer aspects may require redesigning the equipment; see the Challenges in Finding Alternatives section.
The redesign and retrofit of equipment already in the field (so that the manufacturer can accept a new but not exactly the same replacement part) can be difficult. It is difficult to schedule downtime for equipment in use, and extensive work in the clean-room environment presents risks of collateral equipment damage (including contamination), particularly where the equipment is complex. It is even more difficult if older equipment is still in use but is no longer supported by the OEM. In this case, it can be very difficult to find knowledgeable sources who can select an appropriate PFAS-free
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 42
replacement part for the equipment and redesign the electrical and mechanical interfaces for the new part.
Usually, replacement parts match one-for-one with the component being removed, but in some cases the component might be different from the one it is replacing for these reasons:
The OEM has categorized the original component (or some part of it) as obsolete. The replacement component required modification because of regulatory pressures, such as
having to replace a motor with a more efficient one. The SMRE or SFE manufacturer or component OEM wishes to improve the performance of the
replacement component (or some aspect of it).
9.0 PFAS Articles for the Protection of Worker Health and Safety Fluoropolymers' unique characteristics (see the What are PFAS-Containing Articles? Section), applications in which they are used in semiconductor manufacturing (see the Supply-Chain Complexity section) and manufacturing support (see the Semiconductor Manufacturing and SMRE section) demonstrate their extent and importance. Some of these characteristics, especially chemical compatibility, temperature stability, nonflammability and low smoke generation, help ensure workforce and community safety. When considering potential alternatives, these materials must provide equal protection.
9.1 Protection from Chemical Hazards 9.1.1 Chemical Compatibility Semiconductor manufacturing uses many types of chemicals, gases and chemical mixtures: acids such as hydrofluoric acid, alkalis such as ammonia, and organics such as isopropanol (Kim, et al. 2018). The construction materials for systems that handle these solid, liquid, gaseous or plasma chemicals within semiconductor manufacturing equipment and support equipment follow company- and industry-specific as well as local, regional and international safety guidelines, such as those set out in Semiconductor Equipment and Materials International (SEMI) standard documents for facilities (Fseries standards) and safety, health and environment (S-series standards) (SEMI 2023).
The specified construction materials depend on factors such as the properties of the chemical and chemical formulations, the chemical mixtures present, the properties of potential chemical reactions and reaction byproducts, the reactivity of chemicals and chemical byproducts with construction materials, and the presence of corrosion amplifiers such as liquid or water vapor. The use of chemically stable fluoropolymers and fluoropolymer coatings in certain situations mitigates material failures caused by corrosion, stress fracture and material instability. Without the use of the most appropriate fluoropolymer articles in SMRE, SFE, chemical and water distribution systems, along with general articles used throughout the factory, component failure could result in hazardous exposures to workers, facilities and communities.
For example, PVDF exhibits strong stability in aqueous acidic environments. The chemical resistance of ethylene tetrafluoroethylene (ETFE) and ECTFE exceeds that of PVDF in several cases (Ebnesajjad and Khaladkar 2017). When considering glass-lined tubing as an alternative, hydrofluoric acid will react and etch glass, thus gradually eroding the protective lining, contaminating the process fluid, weakening the integrity of the pipe, and creating a worker safety hazard.
9.1.2 Temperature Stability Some processes using UHP chemicals and gases within semiconductor manufacturing can exceed 200C. Alternatives such as PP and rubber-lined tubing have an operating temperature between 100C and 200C and begin to degrade outside of these temperatures (Plastics Europe 2021). While alternative substitutions may be possible in individual cases, PFAS-based or PFAS-lined ductwork and piping protect employees from chemical and heat exposure.
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 43
9.2 Protection from Fire 9.2.1 Nonflammability and Low Smoke Generation As discussed in the Semiconductor Manufacturing and SMRE section, semiconductor manufacturers and their insurance carriers limit the amount of combustible material in SMRE to 1 lb. per sq. ft. Moreover, in the construction of semiconductor facilities, FM Global 7-7 Property Loss Prevention Data Sheet 17-12 states:
Use noncombustible materials to construct semiconductor fabrication facilities (Section 2.3.1.1.1). If it is not possible to avoid the use of plastic construction materials, use plastic that has passed
the FM Approvals Cleanroom Materials Flammability Test Protocol (hereafter referred to as FM 4910-listed plastic). FM 4910-listed plastic materials can be found in the Specification Tested section of the Approval Guide, an online resource of FM approvals (Section 2.3.1.1.2).
When tool purchase and building construction specifications require plastic materials, semiconductor facilities use ANSI/FM 4910 to ensure low flame spreading and low smoke density in a fire event (van Krevelen and te Nijenhuis 2009). Polymers with these properties are especially beneficial for components used in sensitive or critical applications; for example, in locations where a flammable atmosphere can exist, or where fire safety standards require very low flammability and highly fireretardant materials.
In order to meet strict safety requirements to eliminate the reintroduction of hazardous airborne contaminants into systems that provide clean air to manufacturing areas, the systems that direct contaminated exhaust to air-abatement and treatment systems are designed to safely remove airborne hazardous materials. SMRE in a clean room must meet applicable local, state and federal safety guidelines to assess and mitigate fire risks. Multiple fluoropolymers such as PTFE, PFA, ECTFE, PCTFE and PVDF are certified as conforming to ANSI/FM 4910 and can help minimize the risk of fire.
10.0 Environmental Releases and Control 10.1 Environmental and Worker Protection PFAS-containing articles are vital components of the waste and wastewater collection and treatment systems that ensure protection of the environment. They provide necessary attributes to ensure safe containment and treatment, thereby minimizing the release of hazardous substances to the environment and possible worker exposure.
10.2 Article End of Life As mentioned in the Semiconductor Equipment and Infrastructure Lifetimes section, SMRE and SFE can have lifetimes ranging from 20 to 30 or more years, although components are replaced occasionally. These long lifetimes are partially attributable to the durability of fluoropolymer materials. Fluoropolymers at their end of life may be reused or recycled locally; disposed of in a landfill; or incinerated, depending on local regulations. The Semiconductor PFAS Consortium (see the Conclusions and Proposed Next Steps section) plans to investigate the reuse and recycling of fluoropolymers used in the semiconductor manufacturing environment to further extend the life of SMRE and facilities infrastructures.
A possible control for articles at their end of life could be a manufacturer takeback program for failed components that contain PFAS. For example, if a part meant to replace a similar component that has failed in service does or may contain PFAS, the supplier of the replacement part could take back the failed part and dispose of it responsibly and appropriately. SMRE and SFE manufacturers might be willing to provide a component takeback program if a demonstration of this practice could mitigate restrictions on the replacement parts themselves. However, there may be other restrictions - such as the United Nations' Basel Convention on the Control of Transboundary Movements of Hazardous Wastes and Their Disposal, or regional laws based on the Basel Convention - that would need adjustment to allow takeback programs.
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 44
The Basel Convention generally recommends restrictions on the shipment of electronic waste. For example, Annex VI, "Minimum Requirements for Shipments" in the European Community Waste Electrical and Electronic Equipment (WEEE) Directive 2012/19/EU (European Union 2012) states that:
"In order to distinguish between EEE [electrical and electronic equipment] and WEEE, where the holder of the object claims that he intends to ship or is shipping used EEE and not WEEE, Member States shall require the holder to have available the following to substantiate this claim: A copy of the invoice and contract relating to the sale and/or transfer of ownership of the EEE
which states that the equipment is destined for direct reuse and that it is fully functional. Evidence of evaluation or testing in the form of a copy of the records (certificate of testing, proof
of functionality) on every item within the consignment and a protocol containing all record information ..."
An addendum to the "Basel Convention Technical Guidelines" called "Addendum Technical Guidelines on Transboundary Movements of Electrical and Electronic Waste and Used Electrical and Electronic Equipment, in Particular Regarding the Distinction Between Waste and Non-Waste Under the Basel Convention" does make some concessions, recognizing the necessity for shipping nonfunctional electronic items that are not technically waste. In Section III, item B clarifies "situations where used equipment should normally be considered waste, or not be considered waste":
"Without prejudice ... used equipment should normally be considered waste if ... The equipment shows physical damage that impairs its functionality or safety, as defined in
relevant standards, and cannot be repaired at a reasonable cost."
Item 32, "Used equipment should normally not be considered waste," states:
"When the person who arranges the transport of the used equipment claims that the equipment is destined for failure analysis, or for repair or refurbishment with the intention of reuse, or extended use by the original owner, for its originally intended purpose ..." (United Nations Environment Programme 2019).
WEEE Directive 2012/19/EU realizes these conditions with a derogation allowing shipment of a nonfunctional part, where conclusive proof documents that the shipment is taking place in the framework of a business-to-business transfer agreement, and that:
"The EEE is sent back to the producer or a third party acting on his behalf as defective for repair under warranty with the intention of reuse" (European Union 2012).
While these considerations might allow the return of many components expected or suspected of containing PFAS that are otherwise being returned for warranty or failure analysis, they certainly would not cover all of them.
Successfully implementing a supplier takeback program to control PFAS-containing components at their end of life would require changes to the Basel Convention that are then rolled into relevant regional regulations such as WEEE Directive 2012/19/EU.
11.0 Challenges in Finding Alternatives 11.1 Aspects to Consider in Analyzing the Suitability of an Alternative When considering the number of components and articles made from fluoropolymers used in the semiconductor industry, the scale of the challenge to find alternatives that meet the required functionality in each case, and to deal with the number of uses indicated in Appendix B, is colossal. Any alternative material needs to demonstrate the same functionality and undergo a qualification process involving testing that normally takes many years to complete.
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 45
Those considering any proposed alternative material must take into account not only the functional aspects of the current material, but also any supply-chain and regulatory aspects that could limit or support the ability to introduce or use the alternative, such as the hazardous nature and availability of the material. Assuming that an alternative has undergone the appropriate and vital evaluations to ensure its technical feasibility, its availability in each use case encompasses many important factors that are necessary in order to make a valid comparison, such as the effects on human health and the environment and the likely risks of exposure of the alternative, as well as its manufacturing process and life cycle. It would also be important to verify that proposed alternate precursors and processing aids are not likely to be subject to future restrictions themselves, thereby creating further disruption if these should subsequently need replacing.
Other questions include whether it is possible to manufacture the alternative to a required purity consistently, the effect of the material on process yields compared to current outputs, and whether existing production equipment can cooperate with the new material. For example, would it be physically possible to change a component within an article as a drop-in replacement? In wires with PTFE insulation, the article itself might have to be completely replaced or reworked in order to remove the restricted substance.
In order to make reliable comparisons, it's necessary to evaluate aspects such as the lifespan/longevity of the alternative in each use case (including its footprint for raw material and energy use over the same time frame as the material it is potentially replacing), recyclability and recovery possibilities, (hazardous) waste treatment requirements, and disposal routes.
After qualifying an alternative, another consideration is whether it is commercialized and has the capacity to meet demand in terms of volume, as this will be one influence on the timing of making a change. Supply parameters also include factors such as location and supply obstacles, undertaking due diligence for responsible manufacturing and supply, fulfilling legal duties on licensing, and compliance with other regulatory controls where necessary.
Estimates of research time to find alternatives and (if an alternative is found) the time required to productize the alternative presume a status quo in the type and availability of laboratory and production equipment that is available today. Regulations limiting the availability of certain PFAS materials potentially disrupt this status quo. In other words, it is very unclear how limitations on the availability of certain PFAS substances (particularly fluoropolymers) will impact the availability of basic laboratory equipment and large-scale manufacturing equipment required to produce and distribute PFAS alternatives reliably and in the quantities required.
Each of the parameters highlighted above have implications on the cost of making the change. For each use case, the outcome of the evaluation may be different, but reviewing all of these factors per use is essential in order to avoid any regrettable substitution. A situation in which a replacement material has similar or worse properties than the material it replaced, or if the replacement is not able to meet demand, negatively impact the industry and society.
Appendix B provides nonexhaustive lists of articles in SMRE and facilities identified by the semiconductor industry that are known to contain fluoropolymers. Table 3 provides a brief overview of the number of use cases identified to date; however, Appendix B has a more detailed summary indicating the prevalence of fluoropolymer use throughout semiconductor manufacturing and support facilities.
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 46
Table 3: Nonexhaustive fluoropolymer use types in semiconductor manufacturing and support facilities.
Location of Fluoropolymer
Number of Identified Fluoropolymer Use Cases
SMRE
Equipment used in fab deposition, dry etch, implant, lithography, metrology, wet clean and wet-etch applications.
Equipment used in assembly, test, packaging and substrate applications.
Equipment used for vacuum systems, equipment-level abatement systems and other common uses related to SMRE.
>80 use cases
>Hundreds of thousands of uniquely designed applications. For example, a single chamber system has 107 unique, directly specified O-rings accounting for 315 individual applications. This does not account for O-rings embedded in off-the-shelf assemblies.
Facilities
Equipment used in fab and assembly test and packaging chemical delivery, UPW, liquid waste, wastewater, exhaust and general fab infrastructure systems.
>200 use cases
>Thousands of uniquely designed applications
Given the extensive number and variety of uses of fluoropolymers, a fundamental question is whether an alternative can perform as needed. Specifying the critical properties of the fluoropolymer in each article enables assessments toward identifying a suitable alternative material that would meet the required properties. Finding a suitable alternative for each use would still require considerable time for systematic replacement given the prolonged lifetime of the fluoropolymer articles, as well as the sheer number of articles involved and the level of disruption in manufacturing.
Box 3: PTFE Use-Case Examples in the Semiconductor Industry
Examples of some use cases for PTFE, one of the fluoropolymers used by the semiconductor industry, illustrate the wide-ranging functions of this substance. Any alternative identified would have to be confirmed as appropriate for that use before replacing PTFE entirely.
PTFE as a fine powder in a lubricant for robot stainless-steel bearings used in a high-vacuum (10E-7 torr) transfer chamber.
PTFE used as a shim in a potentiometer. PTFE used as insulation for a conductor intended to maintain at least 600 VAC of isolation in
temperatures up to 100C. PTFE mechanical gear with specific dimensions in shutter control mechanisms. PTFE as dielectric in a coaxial cable for high-power RF applications. A PTFE tank intended to hold sulfuric acid at 154C. PTFE-lined exhaust ducts used for connecting semiconductor etching equipment to abatement
devices (furnaces and scrubbers). PTFE in high-purity valves, tubing and fittings in the fluid delivery systems of wet-etch tools
requiring high purity, excellent chemical resistance and mechanical stability up to 180C.
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 47
When considering alternatives, particularly with regard to the question of essentiality, there are two broad categories:
Use cases where PFAS-containing materials are essential because the related use case is sufficiently valuable to socioeconomic goals and there is not an identified alternative that would function as needed.
Use cases where PFAS-containing materials are critical and cannot be replaced within required regulatory time frames. Although an alternative is available, these use cases are so pervasive that it will take resources beyond easy comprehension to redesign all relevant instances.
Again, PTFE-coated wiring would be an example for the second category. Alternative insulations might function well in many use cases, but searching all components for the possible use of such wires (particularly when put into a design unilaterally by an upstream supplier) as well as redesigning all related items could easily take years of effort, which requires planning.
To gain some insight into the difficulties of replacement, Chemservice Group evaluated a selection of articles used by the semiconductor industry against other materials available on the market, with input from a variety of downstream users of fluoropolymer articles (Chemservice Group 2022). In many use cases, none of the alternative materials were suitable because they could not meet the combination of vital characteristics required, failing on at least one attribute. Particularly for the semiconductor industry, the substitution of fluoropolymers would lead to serious safety aspects when materials fail, causing an exposure of hazardous chemicals to workers and the environment (as outlined in the Semiconductor Equipment and Infrastructure Lifetimes and PFAS Articles for the Protection of Worker Health and Safety sections). Further, a material that lacks the ability to maintain purity for water and chemistries results in a loss of yield.
A number of part suppliers publish chemical compatibility guides with ratings for the performance of different materials when exposing them to different chemicals (Industrial Specialties Mfg. & IS Med Specialties 2022); (Trelleborg 2012); (Graco 2013) . The evaluation of the suitability of alternative materials first outlines the typical conditions where these materials are used, followed by a discussion on their relevance to the semiconductor industry. These are just a few examples that represent many applications within the industry, all of which have similar problems finding a suitable alternative to fluoropolymers.
11.2 The Use of Fluoropolymers in Pipes, Tubes and Vessels for UHP Chemical Requirements PP and rubber materials are not suitable alternatives for a number of reasons (Compass Publications n.d.) because they can only withstand temperatures of 100C to 120C before degrading, in comparison to temperatures as high as 230C for some processes that use fluoropolymers. More importantly, these materials are highly flammable, and the potential increased risk to life and safety is considered unacceptable.
The lifetime and duration of lined systems using these materials would be very short because of the aggressive chemicals to which they would be exposed and their high replacement frequency, as these materials disintegrate into particles during use, ultimately causing particle impurities and microchip failures. PP and rubber materials are also poor environmental options because they require much more material and energy to maintain the system, generating more waste over a facility's lifetime.
Stainless steel is not a viable alternative for the semiconductor industry. Although it is possible to use stainless steel to transport certain chemicals, when transporting harsh, corrosive chemicals, metal ions are extracted from the steel. Thus, stainless steel is unfeasible for the semiconductor industry because of the high purity requirements and the impact that metal ion impurities have on production yields.
Enamel- or glass-lined pipes could work under certain conditions, but are not suitable for the wide range of temperatures, aggressive substances and process conditions that fluoropolymers can
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 48
withstand; moreover, these materials are brittle and can break easily when subjected to temperature changes or acid attacks. The service life of piping systems is much shorter (perhaps reaching five years, but certainly below 10 years), whereas fluoropolymers can last decades. The need for increased maintenance and higher replacement rates, coupled with the potential for increased failure rates that pose a risk to workers and manufacturing facilities, makes them nonviable as an alternative to fluoropolymers.
PP, rubber, or enamel-/glass-lined piping and fitting systems could even create high-risk situations. The probability of cracking and integrity failure increases significantly in chemical transport systems using strong acids such as hydrochloric acid, sulfuric acid or hydrofluoric acid; any breach could harm workers, production facilities and the environment. Depending on process conditions, corrosion can appear within hours of operation and have sudden, unpredictable effects. Likewise, hydrofluoric acid will react and etch glass and thus gradually erode protective linings, contaminating the process fluid, weakening pipe integrity, and creating a safety hazard.
Box 4: Consortium Member Efforts to Identify Alternative Pipes, Tubing and Vessels
The Semiconductor PFAS Consortium surveyed members to understand efforts to use alternative materials, with these results:
High-density polyethylene (HDPE) as a possible replacement for PTFE in tanks, tubing and containers starts decomposing in 70% nitric acid after six months, leading to chemical leakage and posing a worker safety risk. (Deteriorating HDPE would contaminate chemicals and UPW, which in turn would result in nonyielding wafers.)
The consortium investigated a number of alternative polymers of various materials groups (>15 individual polymers) as well as polymers currently being used in piping systems. The conclusion for various reasons (for example, incompatibility) is that alternatives will lead to safety and contamination problems unacceptable to advanced semiconductor nodes. This result is not just applicable to pipes but to complete systems with a 25-year design lifetime that include fittings, valves, gaskets and respective welding technologies. The alternative must be processable with molding and extrusion, in a size ranging from 20 mm to 450 mm (with varying wall thicknesses).
Multiple alternative plastic piping materials (including PVDF) for the delivery of organic amines all had frequent failures until using PFA, which had zero failures. Such failures present a chemical spill/safety risk.
11.3 The Use of Fluoropolymers for Seals and Gaskets in SMRE Fluoropolymer materials are essential components of sealing mechanisms used throughout the semiconductor manufacturing environment. A seal or gasket is aformable matieral that fill the space between two or more mating surfaces, generally to prevent leakage from or into joined objects while under compression. Sealing materials must be able to withstand exposure to the process media and environmental conditions of the application, including temperature and pressure. They must not damage other equipment and must be compatible with (and softer than) construction materials such as flanges, pipes, valves and containers. Sealing system failures can have detrimental effects on manufacturing uptime and yield, and can also result in the release of hazardous materials that pose a risk to workers and the environment.
The semiconductor manufacturing environment (with its use of aggressive chemistries, extreme pressures, high temperatures and plasma processing) is demanding for any sealing material. It is important to note that the industry only uses fluoropolymer sealing materials where necessary, as their performance characteristics come with an associated increase in cost when compared to non-PFAS alternatives. Alternative non-PFAS sealing materials must have sufficient mechanical properties (strength and comparative softness) across all processing temperatures to withstand system vacuum or pressure, have very low permeability to media to prevent contamination, and possess appropriate chemical and thermal stability to resist degradation. The low performance of any potential alternative
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 49
would result in the need for increased maintenance procedures and an increase in waste materials. Very few polymers can operate in these environments, with only fluoropolymers able to offer the required performance (Robert 2008). The semiconductor industry uses an array of chemistries, and the idea arose to replace FKM and FFKM in O-rings with ethylene propylene diene monomer (EPDM) because of its broad chemical resistance. Appendix D shows examples of chemical resistance comparing EPDM, FKM and FFKM swelling and weight-loss behavior in a common photoresist solvent, hydrofluoric acid and deionized water. Only FFKM performed acceptably in the photoresist solvent and deionized water, and only the fluorinated materials (FFKM and FKM) had acceptable behavior when exposed to hydrofluoric acid. EPDM was not acceptable in any test. State-of-the-art dry-etching processes use temperatures above 200C with fluorine plasma and highly polar chemicals. EPDM, nitrile butadiene rubber (NBR) and silicone rubber have been evaluated as potential alternatives for FKM and FFKM in O-rings, with the results also presented in Appendix D. Of the materials tested, only FFKM had acceptable weight loss and, of particular note, EPDM failed catastrophically and completely disintegrated in the testing. Processes at the nanometer scale are extremely sensitive to contaminants arising from particulate offgassing that can occur from sealing materials. Figure 24 compares the relative outgassing performance of a variety of sealing materials. Using soft, low-outgassing materials (found in FKM and FFKM) can avoid both deformation and contamination from outgassing. Conventional rubbers such as NBR have outgassing rates that are as much as 1,000 times higher than state-of-the-art FFKM. Low-outgassing elastomers that contain silicon such as vinyl methyl silicone (VMQ) outgas organosilicon compounds, which UV or EUV light converts to form silicon dioxide-containing contamination layers on optics (DuPont 2009).
Figure 24: Comparing materials for outgassing performance (Polycomp n.d.).
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 50
Another suggestion was to that metal sealing materials could serve as a potential non-PFAScontaining replacement, but they are not suitable in certain applications. For example, in lithography, optics mirrors and lenses are polished to an accuracy of 50 pm to 1 nm. Such optics are very sensitive to mechanical stresses because even moderate forces can cause elastic deformations in the nanometer range. Therefore, when in close proximity to lithography optics, it is not possible to use sealing techniques in ultra-clean applications such as copper gaskets in ultra-high vacuum systems or other metal seals. The same situation applies to optical metrology tools.
Box 5: Examples from a Member Company Survey of the Use of Nonfluorinated O-Rings and Seals
Using non-FKM O-rings resulted in early failures caused by leaking, which resulted in having to take an UPW system down in order to replace them all. It took three days to replace all of the O-rings and seven days to requalify the system, resulting in 10 days of lost fab production time.
Alternative non-PFAS elastomers were mistakenly used as O-rings and seals in mechanical and chemical/gas delivery systems. In some cases, the seals failed almost immediately and caused leaks, because they were incompatible with the gases and chemistries running through the lines.
No class of material other than fluoropolymers can meet the lifetime, fluid compatibility, plasma exposure, low outgassing and high-temperature compatibility requirements; therefore, the use of fluoropolymers is essential to seal the ultra-clean processing chambers used to manufacture ICs and to enable modern semiconductor manufacturing processes.
11.4 The Use of Fluoropolymers in UPW Piping Systems The evolution of dimensions and IC complexity are the drivers for fluoropolymer use in UPW/highUPW piping systems. Purity requirements have gone from parts per million back in 1990, to parts per billion in the late 1990s, to parts per trillion from 2015 to the present day. For the most difficult process steps such as EUV lithography, requirements are now at parts per quadrillion (ppq) levels (IRDS 2022).
In older technology semiconductor facilities building ICs to greater than 600-nm technology, PVC or PP are still the materials of choice for UPW systems.
In state-of-the-art semiconductor facilities, it is possible to use PVC and PP for the UPW return line. Of the water distributed in the wafer fab, about 50% is returned and fully retreated by the UPW polish system; however, it is important to consider jointing technology. PVC piping systems are connected using solvent cement recommended for high-purity applications. Published test results show, however, that total organic carbon (TOC) levels are several orders of magnitude higher, metals are slightly worse than PP, and anion contaminants were much worse than PP (Burkhart, et al. 2003). Socket-welded PVC is a better alternative to cemented PVC, but is not ideal because water can stagnate in some weld areas. Recent developments in contactless and geometrically more ideal infrared welding could offer new possibilities in joining pipes in the future (Sekisui 2022); (GF Piping Systems n.d.).
Polyethylene is another alternative material investigated for UPW use. Polyethylene-lined steel elbow samples had TOC levels similar to PVC samples. Such high levels can potentially contaminate wafers, as well as provide a medium for bacterial growth. Additionally, metallic impurities including calcium, aluminum, copper, zinc, barium and lead were one to two orders of magnitude higher than PVDF or PVC. The cause of this high level was assigned to a monomer for polyethylene, which comes from ethane, a naturally occurring gas in the earth's crust. Without additional purification, the metallic contaminants are likely to vary from batch to batch (Burkhart, Mueller and Reutz 2011).
Both PP and polyethylene are prone to oxidation (by ozone sanitization) and are limited in their upper temperature limits. The same aspects apply to O-rings and seals used for UPW. So far, no alternative sealing material provides both the purity and the ozone resistance needed in state-of-the art UPW
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 51
applications. Although it is possible to consider EPDM mechanically as an alternative to fluoroelastomers, studies have shown that it decomposes during the sanitization process (Wallheinke, et al. 2015). Another study directly proved that this decomposition process leads to organic contamination of the UPW (Miwa, et al. 2011).
Researchers have investigated alternate materials for hot UPW applications, driven by the need to improve purity rather than to replace fluoropolymers (Georg Fischer, personal communication, 2021). After considering various materials, only fluorinated materials could achieve high purity. Nonfluorinated materials missed a major performance requirement: either they did not work as a pressure pipe, could not function at the high temperature required by hot UPW, were not easy to join by welding, could not tolerate oxidation as used in today's processes, or were not pure enough.
11.5 The Use of Fluoropolymer Lining in Exhaust Ductwork The metal exhaust ductwork used to transport hazardous gases is lined with fluoropolymers. As explained in the PVD section, coated metal ductwork is not just for chemical resistance; it can also fulfill fire-code requirements for fire resistance. Options such as fiberglass or other plastics may require in-duct sprinklers, which add cost and risk. For example, NFPA 318: Standard for the Protection of Semiconductor Fabrication Facilities lists the use of combustible materials with automatic sprinkler protection as its third preference for duct materials (out of three options). Plus, the lifetime of those materials can be much shorter depending on the contents of the exhaust stream; they often get brittle in higher heat and with certain fumes (National Fire Protection Association 2022).
While coated stainless steel lasts as long as the outer stainless duct, uncoated stainless steel or galvanized steel for certain processes would only last days. The fiberglass or other plastic options would last longer than uncoated or galvanized metal but not as long as the coated stainless steel, and would not be as resilient across the mixture of fumes generated in semiconductor manufacturing. An alternative would require an exhaust system redesign and reconstruction. While a limited application of ceramic or silicon nitride coatings may be viable, the mechanical condition of use for ductwork prevents systemwide use.
11.6 The Use of PTFE, FEP and ETFE in Electrical Cabling Fluoropolymers are also used extensively as building materials because of their inherent flame and smoke resistance. For example, an important application for fluoropolymers as a building material is in wire and cable insulation.
11.6.1 Cabling in Plenum Spaces Cable products routed through building plenum spaces require the highest level of flame and smoke performance. A plenum space is the area between the floor and ceiling where air handling takes place. In the U.S., Article 800 of the National Electrical Code (NEC) mandates the use of "plenum-rated cable" in plenum airspaces and air ducts. In the event of a fire, plenum-rated cables slow down flame spread and reduce smoke and the circulation of toxic fumes.
The use of fluoropolymers as cable jackets is often necessary to protect the cable core to slow down burning and reduce smoke generation. Beyond their flame and smoke resistance properties, fluoropolymers used for wires and cables also provide exceptional physical, mechanical and electrical properties. Fluoropolymers have a high dielectric strength, which means that power cables insulated with these materials can be thinner than those manufactured with other materials.
Polyethylene, PVC and rubbers were once preferable because they were less expensive, but fluoropolymers replaced these materials when the application called for low flame and smoke properties, or outdoor (UV and moisture resistance) or chemical resistance. Cable jackets used in plenum spaces use PVDF or FEP copolymers extensively, while copper communication cables use fluoropolymers such as FEP because of its inherently low dielectric constant. Fluoropolymers such as
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 52
FEP and vinylidene fluoride (VF2) copolymers also replace polyolefins when requirements include flame and smoke resistance (in buildings) and/or chemical resistance (industrial processes).
11.6.2 LAN Cabling Minimizing signal disruption is a requirement for any material used in data transmission cables. Using FEP in local area network (LAN) cables of category 4 or higher optimizes data transmission properties such as velocity of propagation, which is the speed at which an electrical signal can propagate through a cable in comparison to the speed of light.
Combined with halogen-free flame retardants (HFFRs), engineering plastics such as PVC or polyethylene are potential alternatives; however, none of these materials meet the combination of properties that lead to superior performance, such as high flexibility, resistance to harsh chemicals and resistance to high temperatures (>200C).
In North America, building codes have a higher emphasis on minimizing the burning potential of materials used in building spaces. A test called the Steiner Tunnel test measures the levels of flame and smoke performance. Such spaces use HFFRs products only minimally because unlike fluoropolymers, they cannot pass the Steiner Tunnel test. Low-smoke PVC is considered the cheap alternative to FEP and PVDF and is acceptable in some cases, but when code requirements demand higher levels of flame and smoke performance, fluoropolymers are preferred over PVC.
Fluoropolymers are extremely resistant to burning and require high temperatures for combustion - higher than most other polymers. Fluoropolymers also have low caloric values, thereby producing and contributing minimal heat in the event of a fire. ANSI/FM 4910, the American National Standard for Cleanroom Materials Flammability Test Protocol, advises that small amounts of contamination from smoke formed from a fire can cause significant damage to clean-room environments (FM Approvals LLC 2004). Smoke particulates, which can travel far away from a fire's source, can damage the expensive equipment contained in clean rooms. Thus, it is important to select materials used in clean rooms that limit fire propagation and smoke generation. The types of fluoropolymer components used in clean rooms include wet benches, semiconductor tools and wafer-handling tools, as well as industrial components such as tubing, piping, fittings, connectors, clamps, pumps, sensors and valves.
Polyether ether ketone (PEEK) and ceramic-based cable insulations are possibilities, but again, these materials would not have the combined set of properties that fluoropolymers offer, and would not perform under the full set of required situations and process conditions.
Plastics such as polyethylene in LAN cables significantly reduce the velocity of propagation, resulting in less-efficient performance. The use of polyethylene would also result in thicker LAN cables.
No PFAS alternative can provide the level of dielectric constant and dielectric loss tangent as small as PTFE. ln coaxial cables used to distribute RF power from an RF power generator to plasma etching or other plasma process equipment, non-PFAS alternatives such as polyethylene or PVC can cause power losses, making them unviable as alternatives because power losses can cause a temperature rise to the cable assembly, which in turn can cause even more power losses and heat generation and lead to a fire.
While alternative materials could be suitable in industrial cables for conditions that require minimum performance levels (moderate temperature ranges, low flexibility needs, the absence of harsh chemicals or limited voltages), the semiconductor industry needs cables to perform under very demanding conditions in relation to data-processing properties to control systems. Alternative plastics will typically have a higher minimum working temperature than fluoropolymers; therefore, their performance will be significantly reduced, particularly in applications that use coolants to decrease temperature, which is typical in data processing.
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 53
The additional insulation needed to minimize such poor performance would result in heavier and larger cables, which would also be more rigid and brittle. Electrical installations would become bigger and more complex.
11.7 Additional Considerations on the Potential Substitution of Fluoropolymers Finding a nonfluoropolymer alternative for any component in semiconductor manufacturing equipment is only the tip of the iceberg. Identifying the new part requires drawings and modifications to every assembly designed by the equipment manufacturer to which the part reports. Items related to a replacement part may require rewritten service and/or maintenance procedures to reference the new part number, and new procedure photos or redrawn illustrations accounting for any variations in the part. Parts that are significant to the operation of equipment (that is, directly involved in an operator task) may require rewritten operation and safety manuals. Parts with a significant safety role may require revised equipment safety evaluations, plans around dispositions of existing stock and so on.
While redesigning a part may sound straightforward, in practice the impact is significant both in burden and time given the complexity of making such a change (as described in the Fluoropolymer Properties Considered Important to the Semiconductor Industry section), even if it is a one-for-one part. For instance, the number of drawings covering a facility runs into the thousands, so updating all of the drawings to include nonfluoropolymer replacement components would take years given the prevalence of fluoropolymer articles in any given facility. Furthermore, when considering the magnitude of changing multiple parts and equipment to be fluoropolymer-free, there is a substantially increased risk of adversely affecting the functioning of the manufacturing process.
The considerations described in this white paper are not unique to the prospect of changing fluoropolymer parts for nonfluoropolymer parts. Replacing one component with another is a relevant and ongoing activity for any equipment manufacturer for a variety of reasons, such as pursuing cost reductions or a more reliable supply, switching suppliers for ethical reasons, or a supplier going out of business or deciding to classify a particular component as obsolete. These scenarios arise at a moderate pace from time to time.
What would be unique to the fluoropolymer prospect is the quantity of components that would have to be changed and the time period required to do it. Any single change can take tens to hundreds of hours of skilled engineering time (Loch and Terwiesch 1999). For example, the currently proposed EU Registration, Evaluation, Authorization and Restriction of Chemicals (REACH) restriction offers at most a roughly 14-year period to come into compliance, and even that requires a derogation/exemption. It is unlikely that there is enough skilled labor available to support such a need.
Box 6: Export Controls Restrict the Availability of Alternatives
Parallel to the possibility of regrettable substitution is the possibility of restrictions existing that are not related to chemical hazards.
For example, one of the classes of substance discussed as a possible alternative to fluoropolymers in some use cases is ceramics (glass, quartz). Ceramic materials and ceramic-coated materials might have the chemical, purity and temperature resistance required for semiconductor equipment applications, but would certainly be more expensive to manufacture and in many other applications might be too fragile for use.
There are probably some use cases where fragility, difficulty in shaping, or the challenges of service and maintenance are not dealbreakers, and ceramics could do quite well. However, it also happens that the use of ceramics in those cases could be a trade-restricted technology where uses could be a matter of national security and are thus forbidden to export. Ceramic applications are deemed "dual use" because they could, for example, enable advanced biological weapon development.
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 54
In semiconductor manufacturing equipment, there are many wetted paths through which highly reactive chemicals must pass. In some paths, the use of ceramics is feasible. However, pumps, valves and heat exchangers are export-controlled (trade-restricted) if they have full wetted paths made from any of these materials:
Alloys with more than 25% nickel and 20% chromium by weight. Nickel or alloys with more than 40% nickel by weight. Fluoropolymers (polymeric or elastomeric materials with more than 35% fluorine by weight). Glass (including vitrified or enameled coating or glass lining). Tantalum or tantalum alloys. Titanium or titanium alloys. Zirconium or zirconium alloys. Niobium (columbium) or niobium alloys. Ceramic materials, as follows:
o Silicon carbide with a purity of 80% or more by weight. o Aluminum oxide (alumina) with a purity of 99.9% or more by weight. o Zirconium oxide (zirconia).
The regulatory controls for these articles were derived by the Australia Group, a multicountry arrangement that aims to allow exporting or trans-shipping countries to minimize the risk of assisting chemical and biological weapons proliferation. In the U.S., these controls are ratified under Section 774 of the Commerce Control List Export Administration Regulations, overseen by the Bureau of Industry and Security in the U.S. Department of Commerce (U.S. Dept. of Commerce Bureau of Industry and Security 2017). Similar controls and license requirements exist for the export of these articles from all other countries in which SMRE manufacturers do business.
12.0 Substituting Non-PFAS-Containing Articles Substituting non-PFAS-containing articles would require both a long research and development effort and an extensive qualification and implementation process before introduction to high-volume manufacturing.
For research and development, even before starting the first step, there must be investigations to identify all PFAS-containing articles in a system containing literally thousands of parts. Since it has not previously been necessary to declare the use of PFAS in articles, some suppliers have used PFAS without notification down the supply chain to the SMRE manufacturer.
SMRE manufacturers will need a reliable supplier capable of delivering the necessary quantities of the substitution consistently and with the required quality specifications. Depending on the number and variety of use cases for a piece of SMRE, there could be multiple research and development collaborations, requiring coordination and timely delivery of each program.
Typical steps in a research and development phase might include:
Identifying alternative materials that meet the defined performance criteria, with significant evidence that would warrant proceeding to the next evaluation phase. This could involve the synthesis of new materials, an extensive evaluation of their physical and chemical characteristics, a demonstration of the reproducibility and scalability of the materials, and toxicology and safety analyses.
Processing these materials in samples of the required articles (gaskets, membranes, cables) and demonstrating their compatibility with existing manufacturing methods or, if not compatible, establishing modifications to the process.
Executing measurements of all of the required properties of the formed sample article. Which properties to measure depends on the kind of article, but complex systems would need to cover a
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 55
multitude of properties relevant for the function (chemical/outgassing, mechanical and electrical for a cable). Verifying the complete set of specifications would require multiple analyses. Modifying the article and perhaps other parts of the equipment in order to meet the full suite of system requirements if the alternative material does not match them all. A simplified example would be using a material with less tensile strength in thicker dimensions, which would require redesigning neighboring parts. Designing any other changes and ordering prototypes for manufacture, qualification and integration into more complex subsystems, such as a wafer-handling robot. Qualifying the subsystem in terms of performance and lifetime. Integrating the subsystem into a prototype at the system level. Releasing the article for end users to evaluate if it passes all tests.
Regarding the implementation into high-volume manufacturing, any modification to a tool or a component of that tool has the potential to manifest as a parameter change in the IC being manufactured. Such changes can in turn impact the yield, performance or reliability of the end product. To monitor this impact, manufacturers can employ change-point management systems, which release a limited amount of wafers in order to evaluate the presence of any modification, wanted or unwanted, and what the implications of those modifications may be on a host of parameters. A controlled release of change is required, as the impacts can be subtle and not detected at the point of use but rather detected only much later during wafer processing or even during final testing. A change can only be fully implemented after collecting a statistically significant amount of data that meets the qualification criteria, and after verifying long-term reliability.
Because of the compound impact of changes, manufacturers usually make them one at a time in order to isolate any deleterious effects. With the hundreds to thousands of articles that contain PFAS in any given SMRE, the time and resources required to qualify a complete removal would be enormous. The duration of these steps depends on the complexity of the parts and the systems, making it impossible to give a general timeline. Each step and each phase carries varying levels of uncertainty such that it would be necessary to restart the entire process at any point of failure.
As mentioned throughout this white paper, there are PFAS-containing articles for which there is no currently available alternative. The manufacturing process for a complex system such as an EUV scanner - starting from the first article to its final shipment - takes up to 18 months, without making any changes to the process. Introducing change would increase the time needed for qualifications and lifetime tests significantly.
There are further points regarding an overall environmental health and safety risk assessment and business risk assessment of the alternative to consider, related both to a proposed alternative substance and articles made from the proposed alternative substance.
12.1 Commercial and EHS Considerations for Proposed Alternative Substances
In what global regions are the alternatives manufactured? Depending on the location, it would be necessary to confirm whether regional environmental, health, and safety (EHS) regulations are on par with leading jurisdictions in order to avoid offloading production risks in a region less likely to have appropriate personnel and environmental protections in place. Manufacturing the alternative in limited locations would increase transportation risks and expense. There may also be social issues relevant to the production of the alternative, such as forced labor practices.
What processes are suppliers using to manufacture the alternatives? A significant portion of concern with PFAS-containing materials arise from impacts during PFAS manufacturing. A fundamental area of consideration would then be how the alternatives are made. There may be several competing ways to manufacture the alternative, each with a different environmental or EHS risk profile. A process that requires precision manufacturing controls, for example, could
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 56
represent a cost risk (a risk of low production yields) or demand for a trained workforce that dissuades investment in the ventures. Running alternative production processes in factories with multiple uses (in other words, factories that also produce other substances) would provide more stable employment for workers. An analysis should also include a consideration of whether the alternative production processes can produce the grade (purity, flammability, durability) of alternative needed for semiconductor processing applications. What precursors are required to make the alternatives? While the alternative might be safely outside of regulatory concern, it could be that the precursors of the alternative are hazardous. The overall risk profile of the alternative with consideration of its precursors could be worse than the PFAS-containing material it aims to replace. For example, processing aids that are not a significant part of the alternate could have a detrimental impact at the production site. What is the potential global capacity for the production of the alternative? Demand for the alternative substance will be equal to the PFAS that it is replacing. There might not be enough alternative production capacity in the world to meet this demand, particularly if the alternative is a novel substance. If the alternative is already in widespread use, a change in demand could destabilize other product markets. What legal encumberments exist for the alternative? If the alternative is produced by a patented process, there could be difficulties if licensing the alternative is expensive or difficult to negotiate. The precursors or production processes might already fall within the scope of regulations or be targeted for elimination. Patented production methods might drive methods to work around the patent that present a greater risk to personnel or the environment.
12.2 Commercial and EHS Considerations for Articles Made from Proposed Alternative Substances
What risks do the alternative and its precursors present in the preparation of articles and chemical products (mixtures and substances)? When making articles from alternative substances directly or from their precursors, the alternatives or precursors might be subject to heating, incineration, employee ingestion, environmental release or other events. These potential events require careful assessment and comparison with the materials they are replacing to proportionately consider risks.
What is the energy footprint of making articles from the alternative for specific use cases? The alternative might have a worse energy profile than the substance it is replacing because it has a higher melting temperature or requires specialized equipment to mold.
Will the alternative require redesigning article production machinery? For example, in factories currently producing PTFE-coated wire, the alternate substance might have different flow, melt or adhesion characteristics that would require redesigning the wire-coating machinery. Redesigns would require rewriting operational instructions and retraining personnel.
How is the end-of-life treatment of articles made from the alternative different from the end-oflife treatment of articles made from PFAS? Many components in equipment are exposed to hazardous chemicals. The way in which hazardous chemicals interact with articles in a component made from an alternative might differ from how they interact with PFAS, requiring different waste treatments and different recycling processes. If the alternative requires incineration, for example, the impacts (such as stack emissions) might be different, requiring a rework of waste treatment facilities, particularly if the alternative is a newly developed material.
What is the useful lifetime of the article made from the alternative in each use case? Articles are fundamental hardware items. The components acquired by equipment manufacturers can consist of many articles, while a component made of many articles is an article as well. For example, a PTFE shim could be an article in a potentiometer, and the potentiometer could be an article in a power supply, and the power supply could be an article in a deposition tool. A potentiometer shim
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 57
made from a non-PTFE substance may have a shorter lifetime, making it difficult to impossible to repair the potentiometer. Thus, the shorter lifetime of the shim drives a shorter lifetime for the potentiometer. Similarly, exchanging a potentiometer would require removing the power supply from the clean room to send it back to the original power-supply manufacturer.
It is important to assess each use case for the lifetime impacts of the alternate. For example, a cable tie made from an alternative substance might have a lifetime in a chassis wiring assembly that is very different from the same kind of cable tie used to hold wires in place in a process chamber.
Although some PFAS-containing materials present a level of risk to humans and the environment, after evaluating risks for an alternate in an equivalent set of use cases, the alternative may pose greater human health and environmental risks than the PFAS-containing material that it is replacing. It is also important to assess commercial considerations of potential alternatives, as this understanding is necessary to formulate practicable transition timelines.
13.0 Conclusions and Proposed Next Steps PFAS applies to a wide range and vast number of fluorinated substances, with wide-ranging properties. This family includes several defined subclasses, one of which is fluoropolymers.
In this white paper, we explained why fluoropolymers have unparalleled, essential properties that they impart during the manufacture of semiconductor ICs. For most applications that use fluoropolymers, the general principle is that it is a combination of properties that make them highly valued materials. Certainly, fluoropolymers also grant high durability and reliability, which are critical properties in terms of operational safety considerations, as well as supporting the global need to maintain and use equipment efficiently, contributing to circularity through their longevity of use.
Despite efforts to find alternatives, almost all types of semiconductor manufacturing equipment include parts with a combination of requirements that only PFAS-containing materials can fulfill, both today and in the near future. The semiconductor manufacturing process is very complex and would not be possible if even one type of equipment were missing.
With the examples given in this white paper, we think that there is strong evidence that finding solutions for the multitude of different property combinations requires more fundamental research.
Future work includes three studies in 2023 related to the presence of PFAS in semiconductor articles: PFAS article end of life - recovery/recycling/reuse, polymer article PFAS leaching methods development and testing, and alternate materials evaluation for selected article applications/use cases. These projects will focus on the current end of life of fluoropolymer articles in facilities and SMRE and how they might be reused or recycled, standardizing a method and using this method to determine the extent (if any) that PFAS compounds are extracted from fluoropolymer piping and evaluating the possible use of alternate piping materials for fluoropolymer piping (checking for particle and metal extractables, respectively). The first and third projects could use recycled fluoropolymer or alternative piping or tubing articles in older or less-demanding applications, such as automotive or keyboard controller-type devices running on >200-nm technologies.
Fabs rely heavily on the use of fluoropolymers in the semiconductor manufacturing process. Without fluoropolymers, society would take a step back of around 30 years, leaving companies based in regions where a PFAS ban includes fluoropolymers at a clear disadvantage.
Semiconductors are indispensable in today's modern society, related to consumer electronics and communications as well other important areas that we take for granted, such as medical devices. In practice, it is thanks to microchip miniaturization that mobile phones reached the level of (reduced) size and functional sophistication. Removing fluoropolymers from the market would result in the loss of these features and make it impossible to maintain current technological levels.
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 58
14.0 References
Ameduri, Dr. Bruno. 2018. "Fluoropolymers: The Right Material for the Right Applications." Chemistry A European Journal 18830-18841.
Bourns. 2006. "Material Declaration Sheet." Bourns Reliable Electronic Solution. May 24. https://www.bourns.com/docs/Product-MDS/6538s_mds.pdf.
Brunette, Brian. 2018. "The Basics & Benefits of Tantalum & Ceramic Capacitors." Power System Design. December 11. https://www.powersystemsdesign.com/articles/the-basics-benefits-oftantalum-ceramic-capacitors/22/13864.
Buck, James C, James Franklin, Urs Berger, Jason M Conder, Ian T Cousins, Pim de Voogt, Allan Astrup Jensen, Kurunthachalam Kannan, Scott A Mabury, and Stefan Pj van Leeuwen. 2011. "Perfluoroalkyl and polyfluoroalkyl substances in the environment: terminology, classification, and origins." Integrated Environmental Assessment and Management 513-541.
Burkhart, M., H. Mueller, and M. Reutz. 2011. "An Expanded Look at Deionized Water Piping Materials." Ultrapure Water 11-17.
Burkhart, Marty, Martin Bittner, Casey Williamson, and Andrea Ulrich. 2003. "A Scientific Look at Lab Quality Deionized Water Piping Materials." Ultrapure Water 36-41.
Chemservice Group. 2022. TECHNICAL REPORT: Analysis of alternatives to fluoropolymers and potential impacts related to substitution in different sectors of use. Chemservice Group.
Chen, Xin, Lu Liu, Shi-Zheng Liu, Yu-Shuang Cui, Xiang-Zhong Chen, Hai-Xiong Ge, and QunDong Shen. 2013. "P(VDF-TrFE-CFE) terpolymer thin-film for high performance nonvolatile memory." Applied Physics Letters 063103.
Compass Publications. n.d. Chemical Resistance Guide for Plastics. La Jolla: Compass Publications. CPS and Exyte Group Company. 2019. Semiconductor Solutions Overview.
https://www.cpsgrp.com/semiconductor-manufacturing-solutions.php. CyberOptics. 2022. WaferSense Wireless Measurement Devices.
https://www.cyberoptics.com/product-category/wafersense-wireless-measurement/. Digi-Key Electronics. 2023. Mica and PTFE Capacitors.
https://www.digikey.com/en/products/filter/mica-and-ptfe-capacitors/64. Dufour, T, J Hubert, N Vandencasteele, P Viville, R Lazzaroni, and F Reniers. 2013. "Competitive
and synergistic effects between excimer VUV radiation and O radicals on the etching mechanisms of polyethylene and fluoropolymer surfaces treated by an atmospheric He-O2 post-discharge." Journal of Physics D: Applied Physics 315203. DuPont. 2009. "DuPontTM Kalrez Outgassing Characterization of Elastomeric Seals Used in Semiconductor Wafer Processing." DuPont. November. https://www.dupont.com/content/dam/dupont/amer/us/en/kalrez/public/documents/en/Outgass ing_characterization_of_elastomeric_seals_used_in_semiconductor_wafer_processing.pdf. Ebnesajjad, S., and R.E. Morgan. 2019. "Chapter 11: Applications of Process Aid Additives." In Fluoropolymer Additives, Elsevier PDL Handbook, by S. Ebnesajjad and R.E. Morgan, 241257. Amsterdam: Elsevier. Ebnesajjad, Sina, and Pradip R. Khaladkar. 2017. Fluoropolymer Applications in the Chemical Processing Industries: The Definitive User's Guide and Handbook. Amsterdam: Elsevier Inc. Electrocube. 2023. High Temperature Film Capacitors. https://www.electrocube.com/pages/hightemperature-film-capacitors. European Union. 2012. "DIRECTIVE 2012/19/EU OF THE EUROPEAN PARLIAMENT AND OF THE COUNCIL of 4 July 2012 on waste electrical and electronic equipment (WEEE)." European Union. July 4. https://eurlex.europa.eu/LexUriServ/LexUriServ.do?uri=OJ:L:2012:197:0038:0071:en:PDF. Fab-Tech. 2023. Fab-Tech an Exyte Company, Our Products. Accessed 2023. https://www.fabtechinc.com/PS_Adapt.php.
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 59
FM Approvals LLC. 2004. "American National Standard Institute for Cleanroom Materials Flammability Test Protocol: ANSI/FM 4910." FM Approvals. June. https://www.professionalplastics.com/professionalplastics/4910ansi1-2004.pdf.
--. 2023. Factory Manual Approval Guide. Februaru 28. https://www.approvalguide.com/. --. 2023. Products We Certify: Cleanroom Materials. https://www.fmapprovals.com/products-we-
certify/products-we-certify/cleanroom-materials. FM Global. 2021. FM Global 7-7, Property Loss Prevention Data Sheets 17-12. April.
https://www.fmglobal.com/search-results?query=7-7. Foquet, Christophe. 2022. "Sustainability Summit Keynote." Semicon West. San Francisco: SEMI. GF Piping Systems. n.d. IR PVC-U. https://www.gfps.com/int/en/products-solutions/systems/ir-pvc-
u.html. Glge, Juliane, Martin Scheringer, Ian T. Cousins, Jamie C. DeWitt, Gretta Goldenman, Dorte
Herzke, Rainer Lohmann, Carla A. Ng, Xenia Trier, and Zhanyun Wang. 2020. "An overview of the uses of per- and polyfluoroalkyl substances (PFAS)." Environmental Science: Processes & Impacts 2345-2373. Graco. 2013. "Chemical Compatibility Guide." Graco. July 2. https://www.graco.com/content/dam/graco/ipd/literature/misc/chemical-compatibilityguide/Graco_ChemCompGuideEN-B.pdf. Hach. 2023. Electrodeless Conductivity Sensor, PFA. https://www.hach.com/p-electrodelessconductivity-sensor-pfa/5000TC-00-4-000. Hamblen, Matt. 2022. Here's the latest hot list of cool chip fabs coming to the US. December 9. https://www.fierceelectronics.com/sensors/heres-latest-hot-list-cool-chip-fabs-coming-us. Ho, Paul S., Jim L. Jihperng, and William W. Lee. 2003. Low Dielectric Constant Materials for IC Applications. Berlin: Springer. Hyde, F.W., M. Alberg, and K. Smith. 1997. "Comparison of fluorinated polymers against stainless steel, glass and polypropylene in microbial biofilm adherence and removal." Journal of Industrial Microbiology & Biotechnology 142-149. Industrial Specialties Mfg. & IS Med Specialties. 2022. Chemical Compatibility Chart. October 27. https://www.industrialspec.com/resources/chemical-compatibility. Intel. 2017. November 2. https://simplecore.intel.com/newsroom/wpcontent/uploads/sites/11/2017/02/fab42-2x1.jpg. --. 2022. "What does it take to build a fab?" Intel. Accessed 2023. https://www.intel.com/content/dam/www/central-libraries/us/en/documents/what-does-ittake-to-build-a-fab.pdf. IRDS. 2022. "International Roadmap for Devices and Systems (IRDSTM) 2022 Edition Yield Enhancement." IEEE: International Roadmap for Devices and Systems (IRDSTM). https://irds.ieee.org/editions/2022/irds%E2%84%A2-2022-yield-enhancement. Kim, Sunju, Chungsik Yoon, Seunghon Ham, Jihoon Park, Ohun Kwon, Donguk Park, Sangjun Choi, Seungwon Kim, Kwonchul Ha, and Won Kim. 2018. "Chemical Use in the Semiconductor Industry." International Journal of Occupational and Environmental Health 109-118. Lapedus, Mark. 2021. Scaling Bump Pitches in Advanced Packaging. October 25. https://semiengineering.com/scaling-bump-pitches-in-advanced-packaging/. Levinson, H.J. 2005. "Explanation of Pellicles." In Principles of Lithography, Second Edition, by H.J. Levinson. Bellingham, Washington: SPIE Press. Loch, Christoph H, and Christian Terwiesch. 1999. "Accelerating the Process of Engineering Change Orders: Capacity and Congestion Effects." Journal of Product Innovation Management. Manufacturing Tomorrow. 2022. Delivering Semiconductor Manufacturing Cleanroom Fire Safety. June 30. https://www.manufacturingtomorrow.com/news/2022/06/30/deliveringsemiconductor-manufacturing-cleanroom-fire-safety/19013.
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 60
Mearian, Lucas. 2022. Micron to build largest chip factory in US history. October 4. https://www.computerworld.com/article/3675354/micron-to-build-largest-chip-factory-in-ushistory.html.
Mitsui Chemicals America, Inc. 2019. "ASML and Mitsui Chemicals Sign License Agreement for EUV pellicle business- About Pellicle." Mitsui Chemicals America, Inc. May 31. https://us.mitsuichemicals.com/release/2019/2019_0531_01.htm.
Miwa, S., Takako Kikuchi, Y. Ohtake, and Keiji Tanaka. 2011. "Surface Degradation of Poly(ethylene[1]co-propylene-co-5-ethylidene-2-norbornene) Terpolymer by Ozone in Water." Polymer Degradation and Stability 1503-1507.
NASA Glenn Research Center. 2022. Microsystems Fabrication Laboratory. September 26. https://www1.grc.nasa.gov/facilities/microfab/.
National Fire Protection Association. 2022. Code and Standards: Standard for the Protection of Semiconductor Fabrication Facilities NFPA 318. https://www.nfpa.org/codes-andstandards/all-codes-and-standards/list-of-codes-and-standards/detail?code=318.
Northwest Labor Press. 2010. Back to work: Intel to spend nearly $4 billion to build Hillsboro fab. November 5. Accessed 2023. https://nwlaborpress.org/2010/11/back-to-work-intel-to-spendnearly-4-billion-to-build-hillsboro-fab/.
Ober, Christopher K, Florian Kafer, and Jingyuan Deng. 2022. "Review of essential use of fluorochemicals in lithographic patterning and semiconductor processing." Journal of Micro/Nanopattering, Materials, and Metrology 21 (1).
OECD. 2010. "OECD Environment, Health and Safety Publications Series on Emission Scenario Documents No. 9: Emission Scenario Document on Photoresist Use in Semiconductor Manufacturing." Organisation for Economic Co-Operation and Development. https://one.oecd.org/document/env/jm/mono(2004)14/rev1/en/pdf.
--. 2022. "OECD Fact Cards of Major Groups of Per- and Polyfluoroalkyl Substances (PFASs)." Organisation for Economic Cooperation and Development. January 18. https://one.oecd.org/document/env/cbc/mono(2022)1/en/pdf.
--. 2022. "Per- and Polyfluoroalkyl Substances and Alternatives in Coatings, Paints and Varnishes (CPVs), Report on the Commercial Availability and Current Uses." OECD Series on Risk Management No. 70. OECD.
Oviroh, Peter Ozaveshe, Rokhsareh Akbarzadeh, Dongqing Pan, Rigardt Alfred Maarten Coetzee, and Tien-Chien Jena. 2019. "New development of atomic layer deposition: processes, methods and applications." Science and Technology of Advanced Materials 465-496.
Patel, D., Ahmad, A. 2023. Embracing Chaos: The Imperfect Art of Semiconductor Manufacturing And Lithography. February 27. https://www.semianalysis.com/p/embracing-chaos-theimperfect-art.
Peacock, R. N. 1980. "Practical selection of elastomer materials for vacuum seals." Journal of Vacuum Science and Technology 330-336.
Pedroli, Francesco, Annalisa Flocchini, Alessio Marrani, Minh-Quyen Le, Olivier Sanseau, PierreJean Cottinet, and Jean-Fabian Capsal. 2020. "Boosted energy-storage efficiency by controlling conduction loss of multilayered polymeric capacitors." Materials & Design 108712.
Plastics Europe. 2021. "Guide for the Safe Handling of Fluoropolymer Resins." Plastics Europe. June. https://fluoropolymers.plasticseurope.org/application/files/6216/3178/0517/Fluoropolymers_ Safe_Hand_EN__June_2021.pdf.
--. n.d. Plastics Europe Glossary. https://fluoropolymers.plasticseurope.org/index.php/glossary.
Polycomp. n.d. Approval by application. Accessed 2023. https://www.polycomp.nl/compound/approvals/by-application/ .
Postek, M., and A. Vladar. 2015. "Does your SEM really tell the truth? How would you know? Part 4: Charging and its mitigation, SPIE Scanning Microsopes." Monterey, CA.
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 61
Puurunen, Riikka L. 2005. "Surface chemistry of atomic layer deposition: A case study for the trimethylaluminum/water process." Journal of Applied Physics 121301.
Robert, C.K. 2008. "Table 4.1." In Chemical Resistance of FKMs versus other Elastomers, by C.K. Robert, 134-154. Raton: CRC Press.
Rocca, Vincent. 2007. Fire safety, corrosion resistance drive use of FCSS ductwork. April. https://sst.semiconductor-digest.com/2007/04/fire-safety-corrosion-resistance-drive-use-offcss-ductwork/.
Rubin, Leonard, and John Poate. 2003. "Ion implantation in silicon technology." The Industrial Physicist 12-15.
Saint-Gobain Composite Solutions. 2023. How Fluoropolymer Films Enhance Tantalum Capacitors for Premium Electronics. https://www.compositesolutions-saint-gobain.com/news/howfluoropolymer-films-enhance-tantalum-capacitors-premium-electronics.
Sekisui. 2022. "Eslon Clean Pipes, Fittings & Valves for UltraPure Process Applications." Sekisui Catalog. March. https://eslon-plant.jp/catalog/Catalog-JE_CleanPipeSystem.pdf.
SEMI. 2022. SEMI F57 - Specification for Polymer Materials and Components Used in Ultrapure Water and Liquid Chemical Distribution Systems. Milpitas: SEMI.
--. 2023. SEMI Standards. https://store-us.semi.org/collections/standards.
Solvay. 2023. Solef PVDF Improves Battery Performance. https://www.solvay.com/en/brands/solefpvdf/li-ion-batteries.
Tarasov, Katie. 2021. Inside TSMC, the Taiwanese chipmaking giant that's building a new plant in Phoenix. October 16. https://www.cnbc.com/2021/10/16/tsmc-taiwanese-chipmaker-rampingproduction-to-end-chip-shortage.html.
Team Xometry. 2022. Nylon: Uses, Types, and Materials. June 23. Accessed June 27, 2023. https://www.xometry.com/resources/materials/nylon/#:~:text=What%20Are%20the%20Vario us%20Types,adiponitrile%2C%20formaldehyde%2C%20and%20water.
The European Council of Vinyl Manufacturers. 2021. PVC incineration and dioxins. Accessed 2023. https://pvc.org/sustainability/pvc-recycling-in-europe/pvc-incineration-and-dioxins/.
Trelleborg. 2012. "Sealing Solutions: Materials Chemicals Compatibility Guide." Trelleborg. August. https://www.google.com/url?sa=t&rct=j&q=&esrc=s&source=web&cd=&ved=2ahUKEwjAn 4TGg_nAhVwkGoFHcLgA6wQFnoECB4QAQ&url=https%3A%2F%2Fwww.trelleborg.com%2F-% 2Fmedia%2Ftss-media-repository%2Ftss_website%2Fpdf-and-otherliterature%2Fbrochures%2Fmat_chem_comp_gb_.
Tsai, Wen Shan, C Y Huang, C Key Chung, K H Yu, and C F Lin. 2017. "Generational changes of flip chip interconnection technology." 12th International Microsystems, Packaging, Assembly and Circuits Technology Conference (IMPACT). Taipei: IEEE. 306-310.
Tullo, Alexander H. 2023. "How fluoropolymer makers are trying to hold on to their business." Chemical & Engineering News, March 5.
U.S. Department of Defense. 2019. "Test Methods For Microcircuits: MIL-STD 883." US Military Navy. https://www.navsea.navy.mil/Portals/103/Documents/NSWC_Crane/SD18/Test%20Methods/MILSTD883.pdf.
U.S. Dept. of Commerce Bureau of Industry and Security. 2017. Chemical and Bioloigcal Controls. December. https://www.bis.doc.gov/index.php/policy-guidance/product-guidance/chemicaland-biological-controls.
U.S. EPA. 2021. PFAS Master List of PFAS Substances. August 10. https://comptox.epa.gov/dashboard/chemical-lists/PFASMASTER.
United Nations Environment Programme. 2019. "Technical guidelines on transboundary movements of electrical and electronic waste and used electrical and electronic equipment, in particular regarding the distinction between waste and non-waste under the Basel Convention." Basal Convention International.
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 62
http://www.basel.int/Implementation/TechnicalMatters/DevelopmentofTechnicalGuidelines/T echnicalGuidelines/tabid/8025/Default.aspx. van Krevelen, D.W., and K te Nijenhuis. 2009. Properties of Polymers, 4th Edition. Amsterdam: Elsevier Science. Wallheinke, Katrin, Wolfgang Paul, Jeffrey Sixsmith, Casey Williamson, and Marty Burkhart. 2015. "A Study of the Effect of Elastomeric Seals Exposed to Ozone." Ultrapure Water 1-7. Wikipedia. 2022. Flip Chip. December 6. https://en.wikipedia.org/wiki/Flip_chip. Yang, Michael, and Nicolay Kovarsky. 2006. United States of America Patent US20070175752A1.
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 63
Appendix A: Abbreviations, Acronyms and Terminology
3D 5G/6G
Term
ABT ACGIH AI AIX ALD Aluminum etch
AMHS ANSI Anti-EBO Antireflective coatings
APM
Aqueous-based ARC ArF Article(s)
Definition
three dimensional
Fifth- and sixth-generation cellular technology for wireless internet connections and communications. manufacturing equipment abatement
American Conference of Governmental Industrial Hygienists
artificial intelligence
anion exchange
atomic layer deposition
An aqueous mixture that includes phosphoric acid, nitric acid and acetic acid. automated material handling system
American National Standards Institute
anti-epoxy bleedout
Top- or bottom-surface coatings used to reduce light reflection at surface interfaces to better control line width in photolithography. A mixture of ammonium hydroxide (28 wt %), hydrogen peroxide (30 wt %) and water, also known as SC1. A mixture in which water is the solvent.
anti-reflective coating
argon fluoride
An object or objects made from one or more substances and mixtures given a special shape, surface or design during production that determines its function to a greater degree than its chemical composition, whether on its own or in an assembly with other articles, substances and mixtures.
Assembly, test and packaging ASTM ATP ATPS AWN Back end of line BARC Barrier layers BCD BEOL Bespoke parts BGA BHF Bioaccumulation BMS/QA
Also, materials used in the construction of semiconductor processing equipment, support equipment, facilities equipment, and other purchased or produced items containing PFAS. The processing steps necessary to test and attach individual semiconductor devices into chip packages that can then be used in electronic devices. American Society for Testing and Materials assembly, test and packaging assembly, test, packaging and substrate acid waste neutralization Processing to create the interconnect wiring for a device. bottom anti-reflective coating Film between the silicide and metallization layers in an interconnect. bulk chemical delivery back end of line Parts or articles that are made to order or custom fabricated. ball grid array buffered hydrofluoric acid etch A gradual accumulation of substances or chemicals in an organism. business management system/quality assurance
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 64
Term BOE BOM BP Buffered oxide etch C4
CAGR CARs CBI C-C backbone
CD-SEM C-F bond CFCs Chalcogen
Chamber clean
Chemical mechanical planarization slurries
Chemical mechanical polishing Chemical vapor deposition
Chip Clean room
CMC CMP CMR CNT C-O Bond Coax Component
CTE CVD CZ
D4, D5, D6 ring
Definition
buffered oxide etch
bill of materials
boiling point
An aqueous mixture of hydrofluoric acid and ammonium fluoride.
Perfluorinated and polyfluorinated alkyl substances with a chain length of four carbons. C4 can sometimes refer to controlled collapse chip connect, the steps in semiconductor manufacturing between front-end fab manufacturing and assembly test and packaging steps. compound annual growth rate
chemically amplified resists
confidential business information
All organic compounds are made up of carbon-carbon bonds, creating a carbon skeleton or backbone in the compounds. critical dimension-scanning electron microscope
carbon-fluorine bond
chlorofluorocarbons
Any element in group 16 of the periodic table such as oxygen, polonium, sulfur, selenium or tellurium; the latter three are typical chalcogens in a dichalcogenide. A process in chemical vapor deposition that removes deposition residues from chamber walls and other interior surfaces. Abrasive and corrosive chemical slurry (commonly a colloid) used to remove material and even out irregular topography, making the wafer flat or planar. A process that smooths and polishes the surface of a wafer to extreme levels of precision, used during multiple steps of wafer manufacturing. Microfabrication processes used to deposit thin films of materials in various forms, including monocrystalline, polycrystalline, amorphous and epitaxial. The common name for an integrated circuit.
An engineered space that maintains a very low concentration of airborne particulates. critical micellar concentration
chemical-mechanical planarization
carcinogenic, mutagenic and toxic for reproduction substances
carbon nanotube
carbon-oxygen bond
The abbreviated term for a coaxial cable.
An identifiable part that a manufacturer of semiconductor manufacturing and related equipment or facilities equipment has purchased to produce a more complex assembly. coefficient of thermal expansion
chemical vapor deposition
The Czochralski crystal growth process (for making silicon ingot that silicon wafers are then cut from). Cyclosiloxanes containing four, five and six silicon atoms in the ring, respectively.
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 65
Term Deep ultraviolet Degas Dense feature bias
DEP Deposition DETCH Device
DHF Dichalcogenides Die
Die-attach adhesives Die overcoat Die passivation
Dilute HF Drop-in replacement
Dry etch
Dry vacuum pump system DSC DUV E-beam EBI EC ECD ECHA ECTFE EEA EEE
Definition
The wavelength of light (249 nm and 193 nm) used to produce fine features on semiconductor devices. An abbreviated term for the degasification of ultrapure water going to lithography tools for dissolved gas control. A critical dimension swing resulting from a shifted resist depth of focus caused by a change in thickness of a lithography film stack as it coats over various pitches, critical dimensions and aspect ratios of substrate topography. deposition equipment
A semiconductor manufacturing step where thin films of materials are added in various forms to the surface of a wafer. dry-etch equipment
An electronic component that relies on the electronic properties of a semiconductor material (primarily silicon, germanium and gallium arsenide, as well as organic semiconductors) for its function. diluted hydrofluoric acid
Any chalcogenide (a compound that contains a chalcogen and a more electropositive element) that contains two chalcogen atoms per molecule. A single instance of a particular end device produced simultaneously on a wafer; a wafer comprises hundreds of die, and a single die may contain millions of integrated circuits. Adhesive used to mount or bond die to a support structure using an epoxybased adhesive. Protective polymer coating applied to a bare die surface for small form packages. The application of a PFAS anti-stiction material as a microcoating of micro-electromechanical system structures so that they become passive (less readily affected by the environment, while also reducing the surface work of adhesion to improve the surface energy properties necessary for actuation). An aqueous mixture containing 0.1% to 0.5% hydrofluoric acid in water.
An alternative substance that that performs in a functionally equivalent way and does not require the modification of existing manufacturing equipment. The removal of a masked pattern of semiconductor material by exposing the material to a bombardment of ions (usually a plasma of reactive gases such as fluorocarbons or oxygen). A vacuum pump system that does not use a liquid sealing system, and is oil- and water-free. die-side components
deep ultraviolet
Electron-beam processing, also called electron irradiation.
electron irradiation
European Commission
electrochemical deposition
European Chemicals Agency
ethylene chlorotrifluoroethylene
European Economic Area
electrical and electronic equipment
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 66
Term EFEM EHS Electronegativity Electronic mold compounds Embedded barrier layers (photolithography) Encapsulant
Environmental fate and transport EoL EOR EOS EPDM Equipment ESIA Etching
ETFE EU EUV Extreme ultraviolet
Exposure latitude
Fab
Facilities infrastructure
Far back end of the line
FBEOL FC FCBGA FCCSP FCLGA FCU FEOL FEP FFKM
FFPM
Definition
equipment front-end modules
environmental, health and safety
The tendency of an atom such as fluorine to attract electrons in a molecule.
Cured resin used to protect semiconductor components from moisture and mechanical damage, and to serve as a mechanical structure. A component of top-coat-free photoresists used for immersion lithography that contains an oligomeric or low-molecular-weight PFAS. A processing step in which a semiconductor chip is encased with a certain material to protect it from the external environment. How chemicals released to the environment move in response to wind, rain and human activities. end of life
end of return
end of supply
ethylene propylene diene monomer
See SMRE.
European Semiconductor Industry Association
The removal of unnecessary materials from a wafer's surface during the photolithography process so that only the design pattern remains. ethylene tetrafluoroethylene
European Union
extreme ultraviolet
The wavelength of light (13.5 nm) used to pattern the finest features required on foundation layers of advanced semiconductor devices. The extent to which a light-sensitive material can be under- or overexposed and still achieve an acceptable result. The abbreviated term for fabrication plant or fabricator, where semiconductors are manufactured on wafers (typically silicon wafers). Systems within a factory that support manufacturing operations; for example, the storage, supply and disposal of gaseous and liquid chemicals, ultrapure water production, and exhaust abatement. Processing that occurs after the fabrication of a semiconductor device in preparation for subsequent packaging. far back end of line
flip chip
flip-chip ball grid array
flip-chip chip-scale package
flip-chip land grid array
flow control valve
front end of line
fluorinated ethylene propylene
The American Society of Testing and Materials name for perfluoroelastomers or perfluoro rubber material, which typically contains higher levels of fluorinated materials compared to FKM. The American Society of Testing and Materials name for perfluoroelastomers or perfluoro rubber material, which typically contains higher levels of fluorinated materials compared to FKM.
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 67
Term FFU HEPA F-gas
F-HTF Final resolution FinFET FKM
Fluorine Fluorine gas/F2 Fluoroelastomer Fluoropolymer
FM Focus window
FOSB FPD FPM
Front end of line
FOUP f-TTF GAC GaN Gas cluster ion beam
Gasket
GDP GHG GHS GWP H2O H2O2 H2SO4 H3PO4 HAR HCl HDI HDPE
Definition
fan filter unit high-efficiency particulate air
Fluorinated gases, particularly those that are perfluorocarbons and hydrofluorocarbons and may be considered PFAS-containing materials. fluorinated heat transfer fluid
The smallest mask feature size that a photoresist can pattern.
A multigate metal-oxide semiconductor field-effect transistor. fluorine Kautschuk material (with the German word "Kautschuk" instead of the English "caoutchouc.") A chemical element with the chemical symbol F and atomic number 9.
A diatomic gas consisting of two fluorine atoms covalently bonded.
Fluorocarbon-based synthetic rubbers; part of the fluoropolymers family.
A distinct subset of fluorinated high-molecular weight polymers with fluorine atoms directly attached to their carbon-only backbone. Factory Mutual
A range of focus values for which a photoresist simultaneously meets line width, wall angle, absence of residues and top retention criteria. front opening shipping box
flat panel display
The American Society of Testing and Materials name for fluoroelastomers or fluoro rubber material. The steps of semiconductor fabrication, from a blank wafer to a completed wafer that has not yet been separated into individual chips. front opening unified pods
fluorinated-tetrathiafulvalene
granular activated carbon
gallium nitride
A technology for the nanoscale modification of surfaces. The process can smooth a wide variety of surface material types to within an angstrom of roughness without subsurface damage, and is also used to chemically alter surfaces through infusion or deposition. Flat, circular seals (often manufactured with flexible materials, but sometimes designed with harder materials) that sit between two flat surfaces designed to prevent leakage. gross domestic product
greenhouse gas
globally harmonized system
global warming potential
water
hydrogen peroxide
sulfuric acid
phosphoric acid
high aspect ratio
hydrochloric acid
high-density interconnect
high-density polyethylene
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 68
Term HEPA HF HFC HFFR HFPO-DA HFW High aspect ratio
HNBR HNO3 HPDE HPM
HTF HUPW HV HVM IC IEEE IH IHS IMEC Immersion topcoat
IMP Implantation
Integrated circuit
Ion implantation
IP IPA IRDS ISO ITRI ITRS KOH KrF
Definition
high-efficiency particulate air
hydrofluoric acid or hydrogen fluoride
hydrofluorocarbon
halogen-free flame retardants
hexafluoropropylene oxide-dimer acid
hydrofluoric waste
Very tall and narrow device features such as dynamic random access memory capacitor cells; the higher the aspect ratio of a feature, the more challenging it is to create. hydrogenated nitrile butadiene rubber
nitric acid
high-density polyethylene
A mixture of hydrochloric acid and hydrogen peroxide, also known as SC2. heat transfer fluid
high ultra-pure water
high voltage
high-volume manufacturing
integrated circuit
Institute of Electrical and Electronics Engineers
industrial hygiene
integrated heat spreader
Interuniversity Microelectronics Centre
A thin film, containing PFAS, applied over the photoresist to prevent the leaching of resist components into the water of the immersion tool, and likewise, to prevent water from permeating into the resist. implant equipment
A low-temperature process by which the ions of one element are accelerated into a solid target, thereby changing the physical, chemical or electrical properties of the target. Also known as a chip, microchip or semiconductor device; a set of electronic circuits on one small flat piece of semiconductor material, usually silicon, with large numbers of integrated, miniaturized transistors and other electronic components. A low-temperature process in which a beam of ions is created from a source material and implanted (or injected) into the surface of a patterned wafer substrate. intellectual property
isopropyl alcohol
International Roadmap for Devices and Systems
International Organization for Standardization
Industrial Technology Research Institute
International Technology Roadmap for Semiconductors
potassium hydroxide
krypton fluoride
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 69
Term
Definition
LAN
local area network
Land-side components Laser release layers LGA
The bottom side of a controlled collapse chip connection (C4) package, on which the package is mounted to a printed circuit board. A thermoset polymer-based layer that enables the stress-free debonding of glass-carrier wafers using ultraviolet laser irradiation. land-grid array
LITH
lithography equipment
Lithography/litho
Abbreviated terms for photolithography.
L-PFC
liquid perfluorocarbon
LSC
land-side components
LUPW
lithography ultrapure water
MAC
multiple-alkylated cyclopentane
Mask/mask set
See photomask.
MEMS
micro-electromechanical systems
MET
metrology equipment
Metrology
Micro-electromechanical system devices Micron
Measuring the various dimensions or physical or chemical characteristics of a semiconductor integrated circuit on a wafer. The technology of microscopic devices incorporating both electronic and moving parts. A unit of length equal to one-millionth of a meter.
Mold releases Mold release sprays Moore's law MTBC
Materials applied to molds (for example, of plastic parts) to create a nonstick barrier. An aerosol that forms a layer or barrier between the mold and casting agent that facilitates demolding. Gordon Moore's principle that the number of transistors incorporated in a chip will approximately double every 24 months. mean time between cleans
MW
molecular weight
NAND Nanometer
A type of flash memory that is nonvolatile, and does not require any power to keep data in it. A unit of length equal to one-billionth (short scale) of a meter.
NaOH
sodium hydroxide
NBR
nitrile butadiene rubber
NEC
National Electrical Code
NF
nanofiltration
NH4OH
ammonium hydroxide
NIOSH
National Institute for Occupational Safety and Health
nm
An abbreviation for nanometer.
Node NTRS
Each new generation process designated by its minimum feature size in nanometers or its transistor gate length. National Technology Roadmap for Semiconductors
O2
oxygen
O3
ozone
OECD
Organization for Economic Cooperation and Development
OEM
original equipment manufacturer
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 70
Term OEL Oleophobic Optical proximity correction Organic-based
O-ring
Outgassing
Package
PAGs PAO PAS PBGA PBT PBZ PC PCB PCD PCTFE PDMS PE PECVD PEEK Pellicle
Perfluorocarbon gases PERR PFA PFA-CF PFAS PFC PFEPE PFOA PFOS PFPE PFSA PGA Photoacid generator
Definition occupational exposure limit A substance that repels oil or organics. A photolithography enhancement technique used to compensate for image distortion caused by light diffraction or process effects. Chemicals or formulations where the principle constituents are carboncontaining molecules. A donut-shaped gasket that helps seal joins between separate parts and prevents the leakage of fluids and gases. The release of a gas that was dissolved, trapped, frozen or absorbed in a material. Metal, plastic, glass or ceramic casing containing one or more discrete semiconductor devices or integrated circuits. photoacid generators polyalphaolfins polyalkyl substances plastic ball grid array persistent bioaccumulative toxins personal breathing zone personal computer printed circuit board planar chemical delivery polychlorotrifluoroethylene polydimethylsiloxane fluids polyethylene plasma-enhanced chemical vapor deposition polyether ether ketone A thin, transparent membrane used to prevent the deposition of unwanted particles on a photomask. Gases used in plasma etching, gas deposition and chamber cleaning. post-etch residue remover perfluoroalkoxy copolymer perfluoroalkoxy-carbon fiber-reinforced composite perfluoroalkyl and polyfluoroalkyl substances perfluorocarbons polyfluoroethyl propyl ether perfluorooctanoic acid perfluorooctane sulfonic acid perfluoropolyether perfluorosulfonic acid pin grid array Molecules that generate a strong acid upon the absorption of light used in chemically amplified resists.
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 71
Term Photolithography
Photomask
Photoresists
Pin grid array
Piranha etch
Plasma cleaning
Plasma dry etch
POC Polymer
POU PP ppb PPE ppm ppt Pre-clean PTFE Pulse dampeners Pump fluids and lubricants
PVC PVD PVDF QFN QFP R&D REACH Registration, Evaluation, Authorization and Restriction of Chemicals RF
Definition
Techniques that use light to produce minutely patterned thin films of suitable materials over a substrate, such as a silicon wafer, to protect selected areas of the wafer during subsequent etching, deposition or implantation. Typically, ultraviolet light is used to transfer a geometric design from an optical mask to a light-sensitive chemical (a photoresist) coated on the substrate. The photoresist either breaks down or hardens where it is exposed to light. Removing the softer parts of the coating with appropriate solvents then creates the patterned film. A glass substrate with a pattern of transparent and opaque regions used to selectively expose the photoresist used in the photolithography process. A light-sensitive material used in photolithography to form a patterned coating on a surface. A means of connecting a semiconductor package to a circuit board using metal pins. A mixture of sulfuric acid and hydrogen peroxide used to clean organic residues off of substrates. The use of a plasma gas to remove particles, residues and films from a wafer surface before or after adjacent processes. The removal of a masked pattern of semiconductor material by exposing the material to a bombardment of ions (usually a plasma of reactive gases such as fluorocarbons or oxygen). point of connection
A substance or material consisting of very large molecules called macromolecules comprising many repeating subunits. Point of use
polypropylene
parts per billion
personal protective equipment
parts per million
parts per trillion
See season.
polytetrafluoroethylene
A device in a pumping system that reduces pulsation during a pump cycle.
Chemicals that prevent the generation of particles and offgassing (in a vacuum), while ensuring the smooth and precise functionality of fabrication and processing equipment. polyvinylchloride
physical vapor deposition
polyvinylidene fluoride
quad-flat no-lead package
quad-flat pack
research and development
Registration, Evaluation, Authorization and Restriction of Chemicals
European Union Regulation 1907/2006.
radio frequency
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 72
Term
Definition
RFO
restrictive flow orifice
RO
reverse osmosis
Standard Clean 1/SC1 Standard Clean 2/SC2 SDS
A mixture of ammonium hydroxide (28 wt %), hydrogen peroxide (30 wt %) and water, also known as APM. A mixture of hydrochloric acid and hydrogen peroxide, also known as HPM. safety data sheet
Season SEM
A step in dry-etch processing that conditions the tool chamber with plasma gases to reduce chamber wall contamination or defects to production wafers.
scanning electron microscope
SEMI
Semiconductor Equipment and Materials International
Semiconductor
A global industry trade association for the semiconductor and electronics
Equipment and Materials supply chain.
International
SFE
semiconductor facility equipment
Si3N4
silicon nitride
SIA
Semiconductor Industry Association
SiARC
silicon anti-reflective coating
SiC
silicon carbide
Siloxanes
A functional group in organosilicon chemistry with the Si-O-Si linkage.
SiO2
silicon oxide
SMD
surface-mount device
SMIF
standard mechanical interface
SMRE
semiconductor manufacturing and related equipment
SMT
surface modification treatment
SOIC
small-outline integrated circuit
SOP
small-outline package
Spin-on barriers
Layers applied by spin casting that separate lithographic elements from
each other; for example, spin-on topcoats in immersion lithography that
separate photoresists and the immersion liquid.
Spin-on low-K dielectrics A material with a small relative dielectric constant (, kappa) relative to
silicon dioxide that is deposited on the surface of a wafer spinning on a
rotating vacuum chuck.
Sputtering
Microscopic particles of a solid material ejected from its surface after the
material is itself bombarded by energetic particles of a plasma or gas.
SRC
Semiconductor Research Corporation
SS
stainless steel
Subfab Substrate (packaging) Surfactants SUS
An area that houses systems supporting equipment contained in a clean room. Supporting material upon which or within which the elements of a semiconductor device are fabricated or attached. Chemical compounds that decrease the surface tension or interfacial tension between two liquids, a liquid and a gas, or a liquid and a solid. steel uses stainless
SVHC
substance of very high concern
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 73
Term TAG TARC TCU Temporary bonding/debonding
TFE Thermal test method
Thickener Tier 1 TIM TLV TMAH TOC Tool
Top retention Transistor
TSV TTF UHP ULPA Underfills
UPW USA U.S. EPA USD UV VAC Vacuum dry etching
Vacuum pump system
van der Waals radius
VF2 VMB VMQ VOC vPvB
Definition
thermal acid generator
top anti-reflective coating
temperature control unit/thermal control unit
A process to offer temporary mechanical support for thin or to-be-thinned wafers in the advanced packaging and heterogeneous assembly of semiconductors. tetrafluoroethylene A variety of techniques in which a property of a sample is continuously measured as the sample is programmed through a pre-determined temperature profile. A formulation component that increases the viscosity of the formulation.
A term referring to direct suppliers.
thermal interface materials
threshold limit values
tetramethylammonium hydroxide
total organic carbon
Another term for SMRE, often used to describe semiconductor manufacturing and related equipment. A lack of film thickness from the photoresist top.
A semiconductor device used to amplify or switch electrical signals and power. through-silicon via
thermal test fluids
ultra-high purity
ultra-low particulate air
An electrically insulating adhesive used to provide a stronger mechanical connection, provide a heat bridge, or prevent solder joint stress caused by differential heating of the chip and the rest of the system. ultra-pure water
United States of America
United States Environmental Protection Agency
United States dollar
ultraviolet
vacuum equipment The removal a masked pattern of semiconductor material by exposing the material to a bombardment of ions (usually a plasma of reactive gases such as fluorocarbons). A pump and its associated appurtenances, such as tubing, piping and seals used to draw a vacuum. A measure of the size of an atom that is not chemically (ionically or covalently) bound. vinylidene fluoride
valve manifold box
vinyl methyl silicone
volatile organic compound
very persistent very bioaccumulative
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 74
VTM Wafer
Term
Wall angle WCLN WEEE Wet chemistry
Wet etch WETCH WLP WSC WSTS WTE
Definition vacuum transfer module A thin, round slice of a semiconductor (usually crystalline silicon) used for the fabrication of integrated circuits. The angle formed by a photoresist sidewall and a substrate. wet cleaning waste electrical and electronic equipment Liquid substances used in processes such as wet etching, cleaning, chemical-mechanical planarization, surface modification treatments and other liquid applications in chip manufacturing. Etching performed using a liquid chemical solution. Wet-etch equipment wafer-level packaging World Semiconductor Council World Semiconductor Trade Statistics waste to energy
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 75
Appendix B: Fluoropolymer Characteristics and Use Tables While this information is not all-inclusive of fluoropolymer uses and their important characteristics, this appendix represents the most accurate information at the time of this white paper's publication.
Tables B-3 and B-4 in this appendix list index values connecting the fluoropolymer characteristic to the fluoropolymer use case.
Table B-1: Characteristics of fluoropolymers for SMRE and facilities operations. For additional context, see the What are PFAS Containing Articles? section of this white paper.
Index 1 2 3 4 5 6 7 8 9 10 11
Fluoropolymer Characteristic Purity Chemical resistance Processability Nonflammability Mechanical properties Permeation resistance Electrical properties Optical properties Low coefficient of friction Temperature stability Bacteria growth-resistant
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 76
Table B-2: Specific characteristics of fluoropolymers for SMRE. For additional context, see the What are PFAS Containing Articles? section of this white paper.
Index Fluoropolymer Characteristic
Subcharacteristic
Further Explanation of the Characteristic
a
5
Mechanical properties
High dimensional stability The ability of the article to hold its mechanical shape
b
5
Mechanical properties
Elasticity
The physical property of materials that return to their original shape after a stress causing the deformation is no longer applied
c
5
Mechanical properties
Flexibility
Bends easily
d
1
Purity
Outgassing (~1E-7 Torr)
A measure of material that evolves from a new/clean article in very low vacuum situations (1E-7 Torr - implanter-level vacuum or <1E9 Torr partial pressure of hydrocarbons in an EUV lithography tool)
A measure of the particles that might come off the article under
e
5
Mechanical properties
Low particle generation
different chemical or physical environments (such as temperature or
normal forces). The size of particles generated is also an aspect of
this characteristic.
f
9
Low coefficient of friction Low coefficient of friction A measure of the amount of friction existing between two surfaces
g
1
Purity
Low absorption
The absorption of other substances present in each use case
h
1
Purity
Low release
The release of substances absorbed in the item's history or release of construction materials
i
2
Chemical resistance
High compatibility with UPW
The material does not degrade when exposed to UPW
j
2
Chemical resistance
High compatibility with acids
The material does not degrade when exposed to acids
k
2
Chemical resistance
High compatibility with corrosives
The material does not degrade when exposed to corrosives
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 77
Index Fluoropolymer Characteristic
l
2
Chemical resistance
m
11 Bacteria growth-resistant
n
4
Nonflammability
o
4
Nonflammability
p
7
Electrical properties
q
10 Temperature stability
r
2
Chemical resistance
s
5
Mechanical properties
t
2
Chemical resistance
u
1
Purity
v
8
Optical properties
w
8
Optical properties
Subcharacteristic
Further Explanation of the Characteristic
High compatibility with caustics
The material does not degrade when exposed to caustics
High bioresistance
A resistance to bacterial, fungal or other biogrowth
Low flammability
Underwriters Laboratories 94 V-rating
Low smoke generation
Particle generation if burning (FM 4910 rating)
Dielectric strength
Electrical characteristic
High temperature stability How temperature might impact other characteristics
Compatibility with solvents Material does not degrade when exposed to solvents
Tribological function
Characteristics that contribute solid lubrication
Less erosion by active radicals in plasma process
Example of radicals in plasma: F, O. Even if the material is eroded (etched), the reaction byproducts must have sufficiently high vapor pressure so that they would not become a particulate contamination source
Low release of organic contaminants in vacuum environments
Example of low-molecular-weight organic silicon compounds (such as siloxanes) from silicon rubber
Optical transparency
Enables the transmissibility of UV light through a thin membrane
High compatibility with UV light
No degradation with exposure to UV light
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 78
Table B-3 lists article use cases and use-case characteristics, one or more of which may be relevant to a PFAS-containing material, and the reason why PFAS was best for a given use case. This list is not meant to suggest that a particular PFAS-containing material has all of the listed characteristics, nor that a particular non-PFAS-containing material does not (or cannot) possess one or more of the characteristics.
Table B-3: SMRE-associated articles, use cases and important characteristics. This information is not all inclusive of fluoropolymer uses and important characteristics in SMRE.
Article's General Identity
Fluoropolymer Likely Present
Generalized Article Use Case
Equipment Use Code*
Use-Case Notes
Important Fluoropolymer Characteristics for the Use Case from Table B-2
AMHS wafer carriers
PTFE, FKM
Handles, door covers, support trays, latches, gaskets, lift arms, grommets
SMRE
To enable the low-particle requirements of a clean-room space
To prevent contamination of in-process products from particles and gases, and low coefficient of friction requirements of moving parts
d, e, f, s
Backing plate gaskets
PTFE
Provides sealing surface
SMRE
Compatible with various chemicals and c, e, f, g, i, j, k, l, n dimensional stability under use
Batteries (lithium) PVDF
Embedded in a controller or computer
SMRE
High thermal and electrochemical
stability n, q
Excellent adhesion between electrode
films and collectors
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 79
Article's General Identity
Fluoropolymer Likely Present
Generalized Article Use Case
Equipment Use Code*
Use-Case Notes
Cables (coax)
Cable jackets (insulated)
Cable ties and bases
Chemical dispensing arms/nozzle assemblies
PTFE, PVDF
PTFE ETFE PTFE, PFA, PCTFE
Carrying high-power RF signals to processing chamber antennas and radiators
Carrying analog signals between chassis or between sensors and chassis
SMRE
In a controller
SMRE
Fixation of cables and wires for electrical connections in vacuum or high-temperature environments
SMRE
Dispense arm/nozzle for dispensing liquids on wafers
WETCH, WCLN
Insulation High dielectric strength
Insulation High dielectric strength To ensure low outgassing To prevent the pollution of optical equipment High-temperature stability
Compatible with various chemicals Dimensional stability under use
Important Fluoropolymer Characteristics for the Use Case from Table B-2
c, n, o, p, q, u
n, q
a, c, d, e, n, o, p
a, c, e, i, j, k, l, m, q
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 80
Article's General Identity
Fluoropolymer Likely Present
Generalized Article Use Case
Equipment Use Code*
Chemical heaters PFA
Chemical heat PFA exchangers
Chemical mixing tanks
PTFE
Coated fused silica PTFE and ceramics
Coated screws Cooling tanks
PTFE
PTFE-coated SUS316L
Dip tubes
PFA
Electrical heater for wet clean/etch process chemistries
WETCH, WCLN
Heat exchangers for cooling wet clean/etch process chemistries
WETCH, WCLN
Vessel for mixing more than two liquids before dispensing on wafers
WETCH, WCLN
Hydrophobic coatings in immersion lithography equipment
LITH
PTFE-coated screws SMRE
Tanks for cooling liquid before draining
WETCH, WCLN
Delivering a photochemical from a container
LITH
Use-Case Notes
Compatible with various chemicals Dimensional stability at elevated temperatures Compatible with various chemicals Dimensional stability at elevated temperatures
Compatible with various chemicals Dimensional stability under use
Important Fluoropolymer Characteristics for the Use Case from Table B-2
a, d, e, g, h, i, j, k, l, n, o, q, r
a, d, e, g, h, i, j, k, l, n, o, q, r
a, e, i, j, k, l, m, q
Must maintain hydrophobic properties under UV irradiation
d, e, i, w
PTFE-coated for corrosion resistance e, f, j, k, l
Protects stainless steel from corrosion a, e, i, j, k, l, m, q
Chemical resistance e, r
Purity
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 81
Article's General Identity
Fluoropolymer Likely Present
Generalized Article Use Case
Equipment Use Code*
Use-Case Notes
Drain tanks
PTFE
Drums, intermediate bulk PFA containers (IBCs)
Exhaust ducts (lined)
PTFE, ECTFE
Fan filter unit highefficiency particulate air (FFU HEPA) filters
PTFE
Film/tantalum capacitors
PVDF, PTFE, PFA
Filters and purifiers PTFE, PFA, PVDF
A holding vessel for liquid before sending it to facility drainage
WETCH, WCLN
Containers for a wide range of process chemicals
SMRE
Acid exhaust from manufacturing equipment
WETCH, WCLN, ABT
Compatible with various chemicals Dimensional stability under use Chemical resistance Purity
Coated for corrosion resistance
Remove particles from clean-room air within SMRE
SMRE
Filters particles from air
Energy storage device SMRE
Filtration or purification of process chemicals, photoresists, slurries
SMRE, WETCH, WCLN, LITH
Used as a dielectric between the capacitor plate material
Chemical resistance Purity
Important Fluoropolymer Characteristics for the Use Case from Table B-2 a, e, i, j, k, l, m, q
e, j, k, l, n, r
j, q
a, e, n, o
n, o, p
e, j, k, l, n, r
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 82
Article's General Identity
Fluoropolymer Likely Present
Generalized Article Use Case
Equipment Use Code*
Use-Case Notes
Important Fluoropolymer Characteristics for the Use Case from Table B-2
High-voltage shrink sleeves
PTFE
Electrical insulation and shielding
SMRE
Ensures low outgassing
Prevents the pollution of optical equipment in high-voltage applications
c, d, e, n, o, p
Insulation
PVDF
Used for thermal properties
LITH, SMRE
Low outgassing values for vacuum applications
Enhanced thermal insulation properties
c, d, e, n, o, p
Isopropyl alcohol (IPA) tanks
PFA-coated steel Supply vessel for IPA uses stainless
WETCH, WCLN
Protects stainless steel from corrosion a, e, i, n, r
Lifters
PTFE-coated quartz
Lifts wafers out of process baths
WETCH, WCLN
Protects quartz from hydrofluoric acid etching
a, e, i, j, k, l, m, q
Liquid chemical pumps
PTFE, PFA, PVDF
Used to measure and pump out a set amount of chemicals
WETCH, WCLN, LITH
Very low contamination and leaching to chemicals
Compatibility with chemicals
a, e, i, j, k, l, m, q
Liquid flow meters/ PTFE, PFA regulators
Controls the flow of fluid
WETCH, WCLN
Compatible with various chemicals Dimensional stability under use
a, e, i, j, k, l, m, q
Low-pressure chambers
PTFE-coated SUS304
Process chamber for wafers
WETCH, WCLN
Protects stainless steel from corrosion a, e, i, j, k, l, m, q
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 83
Article's General Identity
Fluoropolymer Likely Present
Generalized Article Use Case
Equipment Use Code*
Use-Case Notes
Important Fluoropolymer Characteristics for the Use Case from Table B-2
Membranes, dampers
FKM, FFKM
Sealing parts without introducing stress, vibration isolation and damping
LITH
Low outgassing
Low permeation prevents the pollution of optical equipment such as lenses
a, b, c, d, e, h, u, w
Monitors/ sensors (coated)
PTFE, PFA
Measures or monitors various properties of fluid
WETCH, WCLN
Protects sensors from chemicals
a, e, i, j, k, l, m, q
Multicore cables
PTFE, PFA, FEP
Electrical connections in vacuum environments
SMRE
Low outgassing
Prevents the pollution of optical equipment
c, d, e, n, o, p
Optical pellicles PTFE
Optically transparent and durable membrane
LITH
Prevents particle contamination of lithography masks
a, v, w
O-rings
FKM, FFKM
Processing chamber seals, vacuum chamber seals (such as a transfer chamber in a cluster tool platform), differential vacuum pressure seals
DEP, DETCH, IMP, LITH, VAC
Resistivity to decomposing under active radicals in plasma
Stable in the presence of hazardous gases
b, d, e, g, q, t, u, w
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 84
Article's General Identity
Fluoropolymer Likely Present
Overflow protection tanks
PTFE, PVDF
Potentiometers
PTFE
Process
PTFE, PFA
chemical/gas filters
Reclaim tanks
PTFE
Restrictive flow orifices (RFOs)
PCTFE
RF generators
PTFE
Generalized Article Use Case
Equipment Use Code*
Secondary containment WETCH,
vessel
WCLN
Used to measure displacement
Filtering particles in process chemicals or gases
SMRE
WETCH, WCLN, LITH
Vessel for reclaiming liquid from processes
WETCH, WCLN
Limits the flow rate of fluids
SMRE
RF output insulator
SMRE
Use-Case Notes
Contains liquid in case of breakage of the primary vessel Compatible with various chemicals Dimensional stability under use
Used in shims and inks to provide low friction and durability
Very low contamination or leaching to chemicals Compatibility with chemicals
Compatible with various chemicals Dimensional stability under use
Compatible with various chemicals Very low contamination Dimensional stability under use
Provides electrical insulation
Important Fluoropolymer Characteristics for the Use Case from Table B-2
a, e, i, j, k, l, m, q
e, f, p, s
a, e, i, j, k, l, m, q
a, e, i, j, k, l, m, q
a, c, e, i, j, k, l, q n, q
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 85
Article's General Identity
Fluoropolymer Likely Present
Generalized Article Use Case
Equipment Use Code*
Robot hands
Sealing tape Semi-permeable membranes Sensors and associated tubing
Shield plates
Shower nozzles
PTFE, PCTFE PTFE
Moves wafers within equipment
Tape used to prevent galling
SMRE SMRE
Sulfonated TFE Plating solutions
DEP
PTFE PTFE PVDF
Used in explosive or corrosive conditions
WETCH, WCLN
Provides a nitrogen environment above the wafer's surface
WETCH, WCLN
Periodic cleaning of process chambers
WETCH, WCLN
Shrink sleeves PFA (heat-shrink tubing)
Electrical insulation and shielding
SMRE
Use-Case Notes
Compatible with various chemicals Dimensional stability under use
Important Fluoropolymer Characteristics for the Use Case from Table B-2
a, d, e, f, g, q, u
Prevents galling when joining
e, f, i, j, k, l, m, q
Allows for the selective passage of fluids
i, j, k, l, q
PTFE-coated for chemical compatibility e, j, k, l
Compatible with various chemicals Dimensional stability under use
Compatible with various chemicals Dimensional stability under use
Ensures low outgassing Prevents the pollution of optical equipment
a, e, i, j, k, l, q a, e, i, j, k, l, m, q d, e, n, o, p
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 86
Article's General Identity
Fluoropolymer Likely Present
Generalized Article Use Case
Equipment Use Code*
Single-wire conductors
PTFE, PFA, FEP
Electrical connections in vacuum environments
SMRE
Solvent measuring tanks
PTFE
Solvent supply tanks
PTFE
Intermediate vessel for measuring liquids
WETCH, WCLN
Supply vessel for solvents
WETCH, WCLN
Tank covers
PTFE
Automatic covers for processing baths
WETCH, WCLN
Toothed or timing belts
PTFE
Tubs/tanks
PVDF
Used in mechanical systems such as robot and wafer alignment
SMRE
Holding wafer processing chemicals or heat transfer fluids in chillers
LITH
Use-Case Notes
Ensures low outgassing Prevents the pollution of optical equipment Compatible with flammable solvents
Important Fluoropolymer Characteristics for the Use Case from Table B-2
c, d, e, n, o, p
a, e, i, n, r
Compatible with flammable solvents a, e, i, n, r
Compatible with various chemicals Dimensional stability under use
a, e, i, j, k, l, m, q
Present as a base material or reinforcing
fibers. a, e
Provides mechanical stability, low
bounce and low stretch
Chemical resistance Purity
e, g, h, i, k
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 87
Article's General Identity
Fluoropolymer Likely Present
Generalized Article Use Case
Equipment Use Code*
Use-Case Notes
Tubing and fittings PTFE, PFA
Tubing and fittings PVDF (flexible or rigid) Tubing and fittings PVDF (flexible or rigid)
Tubing
PTFE
Valve seals
Valves (control, switching, regulating)
PTFE PTFE, PFA
Carrying UPW and chemicals within wet cleaning-, coater- or developer-type SMRE
Carrying corrosive process chemicals
SMRE
Provides excellent chemical compatibility and thermal stability
High purity and low extractables
WCLN, WETCH, LITH
Provides excellent chemical compatibility and thermal stability
High purity and low extractables
Carrying UPW
SMRE
High purity and low extractables
Electrical insulation and shielding
SMRE
Seal in a diaphragm valve
SMRE
Regulates chemical
WETCH,
flows within equipment WCLN
Ensures low outgassing Prevents the pollution of optical equipment
Provides positive shut-off
Compatible with various chemicals Dimensional stability under use
Important Fluoropolymer Characteristics for the Use Case from Table B-2
a, c, e, i, m
a, c, e, i, j, k, l, q
a, c, e, i, m
c, d, e, n, o, p
b, e, i, j, k, l, m, q
a, e, i, j, k, l, m, q
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 88
Article's General Identity
Fluoropolymer Likely Present
Generalized Article Use Case
Equipment Use Code*
Wafer carriers, shippers, microenvironments
FKM
Wafer handling end-effector coatings
FEP, PTFE
Wafer-spin chucks ETFE, PFA
Wafer splash guards
PTFE, PFA
FOUPs, FOSBs, wafer carriers/shippers
SMRE
Handles wafers as they transfer from air to vacuum transfer modules (VTMs) and/or from VTMs to processing chambers
SMRE
Platen to hold and rotate wafers during processing
WETCH, WCLN
Guard around a wafer to direct liquid spun off the wafer to the appropriate drain line
WETCH, WCLN
Use-Case Notes
No outgassing Low particle generation
Important Fluoropolymer Characteristics for the Use Case from Table B-2
a, b, d
Coating for chemical stability and low particle generation
a, d, e, f, g, q, u
Compatible with various chemicals Dimensional stability under use
Compatible with various chemicals Dimensional stability under use
a, e, i, j, k, l, m, q a, e, i, j, k, l, m, qs
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 89
Article's General Identity
Fluoropolymer Likely Present
Generalized Article Use Case
Equipment Use Code*
Use-Case Notes
Important Fluoropolymer Characteristics for the Use Case from Table B-2
Wires (insulated) PTFE
In a processing chamber
WETCH, WCLN, LITH
High dielectric strength provides excellent insulation
Low loss tangent minimizes signal loss
Wide temperature range
Required mechanical flexibility for cabling
c, e, g, h, i, j, k, n, o, p, q, w
Insulation
Wires (insulated) FEP
In a controller
SMRE
n, q
High dielectric strength
*Key to equipment use-code abbreviations: ABT - manufacturing equipment abatement, DEP - deposition equipment, DETCH - dry-etch equipment, IMP - implant equipment, LITH - lithography equipment, SMRE - semiconductor manufacturing and related equipment, VAC - vacuum equipment, WCLN - wet cleaning equipment, WETCH - wet-etch equipment.
Table B-4 lists article use cases and use-case characteristics, one or more of which may be relevant to a PFAS-containing material. This list is not meant to suggest that a particular PFAS-containing material has all of the listed characteristics, nor that a particular non-PFAS-containing material does not (or cannot) possess one or more of the characteristics.
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 90
Table B-4: Facilities systems-associated articles, use cases and important characteristics. This information is not all inclusive of fluoropolymer uses and important characteristics in facilities systems and equipment supporting semiconductor manufacturing.
Facilities System
Article's General Identity
Fluoropolymer Likely Present
Important Fluoropolymer Characteristics for Use Case from Table B-2
Chemical delivery
Bulk storage tanks
PFA-lined, HDPE-lined (non-PFAS), fiber reinforced polypropylene (FRPP) (non-PFAS), ECTFE-lined, PTFE-lined, stainless steel
1, 2, 3 (liner adhesion), 4 (stainless steel), 5 (structural durability), 6, 7 (static dissipative stainless steel), 8, 10, 11
Chemical delivery
Level sensors
PFA, PVDF, FEP
1 (invasive), 2, 3, 4 (solvent applications), 6, 7, 8, 10
Chemical delivery
Distribution pipes/tubes
PFA
1, 2, 3, 5, 6, 8, 9, 10, 11
Chemical delivery
Primary distribution pumps
PFA, PTFE
1, 2, 3, 5, 6, 10, 11
Chemical delivery
Flow sensors
PFA, PVDF, FEP, PTFE, ECTFE
1, 2, 3, 4 (solvent applications), 5, 6, 7, 10
Chemical delivery - bulk chemical delivery (BCD) room distribution
Equipment main housing
PVDF
1, 2, 3, 4, 5, 6, 7, 8, 10
Chemical delivery - BCD room distribution
Equipment storage tanks
PFA, HDPE, ECTFE, PTFE
1, 2, 3 (liner adhesion), 4 (stainless steel), 5 (structural durability), 6, 7 (static dissipative stainless steel), 8, 10, 11
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 91
Facilities System
Article's General Identity
Chemical delivery - BCD room distribution
Tank level sensors
Chemical delivery - BCD room distribution
Distribution pipes/tubes
Chemical delivery - BCD room distribution
Distribution pumps
Chemical delivery - BCD room distribution
Flow sensors
Chemical delivery - BCD room distribution
Flow control devices
Chemical delivery - BCD room distribution
Isolation valves
Chemical delivery - BCD room distribution
Block and bleed valves
Chemical delivery - external distribution Distribution material pipe tube
Chemical delivery - external distribution Leak detection
Fluoropolymer Likely Present
PFA, PTFE, PVDF
PFA, sometimes PVDF (fitting nuts) PFA, PTFE, PVDF
Important Fluoropolymer Characteristics for Use Case from Table B-2
1, 2 (invasive), 3, 4 (solvent applications), 5, 6 (invasive), 7, 10
1, 2, 3, 5, 6, 8, 9, 10, 11
1, 2, 3, 5, 6, 9, 10, 11
PFA, PTFE, PVDF, ECTFE 1, 2, 3, 4 (solvent applications), 5, 6, 7, 10
PFA, PTFE, PVDF, ECTFE 1, 2, 3, 4 (solvent applications), 5, 6, 7, 9, 10
PFA, PTFE, ECTFE, PVDF, PP
1, 2, 3, 5, 6, 9, 10, 11
PFA, PTFE, ECTFE, PVDF, PP
1, 2, 3, 5, 6, 9, 10, 11
PFA, sometimes PVDF (fitting nuts)
1, 2, 3, 5, 6, 10, 11
PFA, PP, PVDF, FEP
1, 2, 4 (solvent applications), 5, 6, 7, 9 (float)
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 92
Facilities System
Article's General Identity
Fluoropolymer Likely Present
Important Fluoropolymer Characteristics for Use Case from Table B-2
Chemical delivery - external distribution Valve manifold boxes (VMBs)
PVDF
1, 2, 3, 4, 5, 6, 7, 8, 10
Chemical delivery - external distribution Box materials
PVDF
1, 2, 3, 4, 5, 6, 7, 8, 10
Chemical delivery - external distribution Distribution valves
PFA, PTFE, ECTFE, PVDF 1, 2, 3, 5, 6, 9, 10, 11
Chemical delivery - external distribution Return to BCD room piping/tubes
PFA, sometimes PVDF (fitting nuts)
1, 2, 3, 5, 6, 8, 9, 10, 11
Chemical delivery - external distribution
Return to BCD room tank controls (assuming this includes back pressure regulation, presence or level sensors, etc.)
PFA, PVDF
1, 2, 3, 5, 6, 7, 8, 9, 10, 11
Chemical delivery - external distribution
Point-of-use (POU) abatement device
PFA, sometimes PVDF (fitting nuts)
1, 2, 3, 5, 6, 8, 9, 10, 11
Chemical delivery - etching chemistries Sulfuric acid
PFA, PVDF
1, 2, 3, 4 (dilution exothermic reactions), 5, 6, 8, 9, 10, 11
Chemical delivery - etching chemistries Hydrochloric acid
PFA
1, 2, 3, 4 (dilution exothermic reactions), 5, 6, 8, 9, 10, 11
Chemical delivery - etching chemistries Hydrofluoric acid
PFA, PVDF
1, 2, 3, 4 (dilution exothermic reactions), 5, 6, 8, 9, 10, 11
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 93
Facilities System
Article's General Identity
Fluoropolymer Likely Present
Chemical delivery - cleaning chemistries Diluted hydrofluoric acid
PFA
Chemical delivery - cleaning chemistries IPA
Chemical delivery - stripping chemistries Tetramethylammonium hydroxide (TMAH)
Chemical delivery - stripping chemistries Mixed chemical formulations
PFA PFA, PTFE
PFA, PTFE
Chemical delivery - site-blended chemistries Chemical delivery - site-blended chemistries UPW - polish treatment UPW - polish treatment
UPW - polish treatment UPW - polish treatment
RCA 1 and 2 Piranha Polish storage Pumps Degasification Polish ion exchange vessels
PFA, PVDF, FEP, PTFE
PFA, PTFE
PVDF-lined FRP PVDF, ECTFE-coated stainless steel PVDF ECTFE-lined steel
Important Fluoropolymer Characteristics for Use Case from Table B-2
1, 2, 3, 4 (dilution exothermic reactions), 5, 6, 8, 9, 10, 11
1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11
1, 2, 3, 5, 6, 8, 9, 10, 11
1, 2, 3, 4 (dilution exothermic reactions), 5, 6, 7, 8, 9, 10, 11
1, 2, 3, 4 (dilution exothermic reactions), 5, 6, 8, 9, 10, 11
1, 2, 3, 4 (dilution exothermic reactions), 5, 6, 8, 9, 10, 11
1, 2, 3, 5, 6
1, 5
1, 2, 3, 5
1, 2, 3, 5, 6
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 94
Facilities System
UPW - polish treatment UPW - polish treatment UPW - polish treatment UPW - polish treatment UPW - polish treatment UPW - distribution mains UPW - distribution mains UPW - distribution mains UPW - distribution mains UPW - distribution lateral UPW - distribution lateral
UPW - distribution lateral Hot UPW
Article's General Identity
Fluoropolymer Likely Present
Filtration
PVDF, PFA, PTFE
Ultrafiltration
PVDF, PFA
Piping
PVDF
Valves
PFA, PTFE
Instrument fittings
PVDF
Pipes
PVDF
Lateral isolation valves
PFA, PTFE
EOS pressure control valves
PFA, PTFE
EOR pressure control valves
PVDF, PTFE
Piping
PVDF
Point-of-connection (POC) isolation PFA, PTFE valves
EOL FCV
PFA, PTFE
Pumps
PVDF
Important Fluoropolymer Characteristics for Use Case from Table B-2 1, 2, 3, 5, 6, 11 1, 2, 3, 5, 6, 11 1, 2, 3, 5, 6, 11 1, 2, 3, 5, 8, 9, 11 1, 2, 3, 9, 11 1, 2, 3, 5, 6, 9, 11 1, 2, 3, 5, 6, 9, 11 1, 2, 3, 5, 9, 11 1, 2, 3, 5, 8, 9, 11 1, 2, 3, 5, 6, 11 1, 2, 3, 5, 9, 11
1, 2, 3, 5, 9, 11 1, 2, 3, 5, 9, 10, 11
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 95
Facilities System
Article's General Identity
Hot UPW Hot UPW Hot UPW Hot UPW Hot UPW Hot UPW Lithography ultrapure water (LUPW) LUPW LUPW LUPW LUPW LUPW LUPW
Valves Degas Mains Lateral isolation EOS pressure control valves EOS pressure control valves Pumps Degas Reverse osmosis membranes Static mixers Mains Lateral isolation EOS pressure control valves
Fluoropolymer Likely Present
PFA, PTFE PVDF PVDF PVDF PVDF PVDF PVDF PVDF PVDF PVDF PVDF PVDF PVDF
Important Fluoropolymer Characteristics for Use Case from Table B-2 1, 2, 3, 5, 8, 9, 11 1, 2, 3, 5, 10, 11 1, 2, 3, 5, 9, 10, 11 1, 2, 3, 5, 10, 11 1, 2, 3, 5, 10, 11 1, 2, 3, 5, 10, 11 1, 2, 3, 5, 11 1, 2, 3, 5, 11 1, 2, 3, 5, 6, 11 1, 2, 3, 5, 6, 11 1, 2, 3, 5, 9, 11 1, 2, 3, 5, 9, 11 1, 2, 3, 5, 9, 11
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 96
Facilities System
Article's General Identity
LUPW
EOS pressure control valves
Planar systems - general
Totes/IBCs (connectors and dip tubes)
Planar systems - general
Level sensors
Planar systems - general
Distribution pipes/tubes
Planar systems - general
Primary distribution pumps
Planar systems - general
Flow sensors
Planar systems - planar chemical delivery Equipment main housing (PCD) room distribution
Planar systems - PCD room distribution Blend/day tanks
Planar systems - PCD room distribution Tank level sensors
Planar systems - PCD room distribution Distribution pipes/tubes
Planar systems - PCD room distribution Planar systems - PCD room distribution
Distribution pumps Flow sensors
Fluoropolymer Likely Present
PVDF PFA, PVDF, PTFE
PFA, PTFE, PVDF PFA, PVDF ECTFE, PTFE, PFA PTFE, PFA, PVDF PVDF
PFA-lined PP PVDF, PFA PFA, sometimes PVDF (fitting nuts) ECTFE, PTFE, PFA PTFE, PFA, PVDF
Important Fluoropolymer Characteristics for Use Case from Table B-2 1, 2, 3, 5, 9, 11 1, 2, 3, 5, 6, 9, 10, 11
1 (invasive), 2, 3, 5, 7, 10 1, 2, 3, 5, 6, 8, 9, 10, 11 1, 2, 3, 5, 9, 10, 11 1, 2, 3, 5, 6, 7, 10 2, 3, 4, 5, 6, 7, 8, 10
1, 2, 3, 5, 6, 8, 9, 10, 11 1 (invasive), 2, 3, 5, 7, 10 1, 2, 3, 5, 6, 8, 9, 10, 11
1, 2, 3, 5, 6, 9, 10, 11 1, 2, 3, 5, 6, 7, 10
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 97
Facilities System
Planar systems - PCD room distribution Planar systems - PCD room distribution Planar systems - PCD room distribution Planar systems - external distribution Planar systems - external distribution Planar systems - external distribution Planar systems - external distribution Planar systems - external distribution
Planar systems - external distribution Planar systems - inner layer dielectric (ILD)
Article's General Identity
Flow control devices Isolation valves Block and bleed valves Distribution material pipes/tubes
Leak detection Distribution valves Return to PCD room piping/tubes
Return to PCD room tank controls (assuming this includes back pressure regulation, presence/level sensors, etc.) POU
Ceria slurries
Fluoropolymer Likely Present
Important Fluoropolymer Characteristics for Use Case from Table B-2
PFA, PTFE, PVDF, ECTFE 1, 2, 3, 5, 6, 7, 9, 10
PFA, PTFE, ECTFE, PVDF 1, 2, 3, 5, 6, 9, 10, 11
PFA, PTFE, ECTFE, PVDF 1, 2, 3, 5, 6, 9, 10, 11
PFA, sometimes PVDF (fitting nuts)
1, 2, 3, 5, 6, 10, 11
PFA, PVDF, FEP
1, 2, 5, 6, 7, 9 (float)
PFA, PTFE, ECTFE, PVDF 1, 2, 3, 5, 6, 9, 10, 11
PFA, sometimes PVDF (fitting nuts)
1, 2, 3, 5, 6, 8, 9, 10, 11
PFA, PVDF
1, 2, 3, 5, 6, 7, 8, 9, 10, 11
PFA, sometimes PVDF (fitting nuts)
PFA, PTFE, PVDF
1, 2, 3, 5, 6, 8, 9, 10, 11
1, 2 (low pH), 3, 5 (resistance to abrasion), 6, 7 (sensors, filter media), 8, 9, 10, 11
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 98
Facilities System
Article's General Identity
Planar systems - ILD
Silica slurries
Planar systems - shallow trench isolation (STI)
Ceria slurries
Planar systems - STI
Silica slurries
Planar systems - copper and copper barrier
Planar systems - copper and copper barrier
Planar systems - copper and copper barrier Planar systems - tungsten
Silica slurries Alumina slurries Oxidizers Silica slurries
Fluoropolymer Likely Present PFA, PTFE, PVDF PFA, PTFE, PVDF PFA, PTFE, PVDF PFA, PTFE, PVDF PFA, PTFE, PVDF PFA, PTFE, PVDF PFA, PTFE, PVDF
Important Fluoropolymer Characteristics for Use Case from Table B-2
1, 2 (high and low pH), 3, 5 (resistance to abrasion), 6, 7 (sensors, filter media), 8, 9, 10, 11
1, 2 (low pH), 3, 5 (resistance to abrasion), 6, 7 (sensors, filter media), 8, 9, 10, 11
1, 2 (high and low pH), 3, 5 (resistance to abrasion), 6, 7 (sensors, filter media), 8, 9, 10, 11
1, 2 (high and low pH), 3, 5 (resistance to abrasion), 6, 7 (sensors, filter media), 8, 9, 10, 11
1, 2 (low pH), 3, 5 (resistance to abrasion), 6, 7 (sensors, filter media), 8, 9, 10, 11
1, 2, 3, 5, 6, 7 (sensors, filter media), 8, 10, 11
1, 2 (low pH), 3, 5 (resistance to abrasion), 6, 7 (sensors, filter media), 8, 9, 10, 11
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 99
Facilities System Planar systems - tungsten Planar systems - tungsten Planar systems - TSV Planar systems - TSV Planar systems - TSV Planar systems - SiC Planar systems - SiC
Article's General Identity Alumina slurries Oxidizers Silica slurries Zirconia slurries Oxidizers Chemical slurries Silica slurries
Fluoropolymer Likely Present PFA, PTFE, PVDF
PFA, PTFE, PVDF PFA, PTFE, PVDF
PFA, PTFE, PVDF
PFA, PTFE, PVDF PFA, PTFE, PVDF PFA, PTFE, PVDF
Important Fluoropolymer Characteristics for Use Case from Table B-2
1, 2 (low pH), 3, 5 (resistance to abrasion), 6, 7 (sensors, filter media), 8, 9, 10, 11
1, 2, 3, 5, 6, 7 (sensors, filter media), 8, 10, 11
1, 2 (high and low pH), 3, 5 (resistance to abrasion), 6, 7 (sensors, filter media), 8, 9, 10, 11
1, 2 (high and low pH), 3, 5 (resistance to abrasion), 6, 7 (sensors, filter media), 8, 9, 10, 11
1, 2, 3, 5, 6, 7 (sensors, filter media), 8, 10, 11
1, 2 (low pH), 3, 5, 6, 7 (sensors, filter media), 8, 9, 10, 11
1, 2 (low pH), 3, 5 (resistance to abrasion), 6, 7 (sensors, filter media), 8, 9, 10, 11
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 100
Facilities System
Article's General Identity
Planar systems - SiC
Alumina slurries
Planar systems - SiC
Oxidizers
Planar systems - small outline no-lead (SON)
Chemical slurries
Planar systems - SON
Silica slurries
Planar systems - SON
Oxidizers
Planar systems - polycrystalline silicon (poly-Si)
Silica slurries
Planar systems - poly-Si
Ceria slurries
Fluoropolymer Likely Present PFA, PTFE, PVDF
PFA, PTFE, PVDF PFA, PTFE, PVDF
PFA, PTFE, PVDF
PFA, PTFE, PVDF PFA, PTFE, PVDF
PFA, PTFE, PVDF
Important Fluoropolymer Characteristics for Use Case from Table B-2
1, 2 (low pH), 3, 5 (resistance to abrasion), 6, 7 (sensors, filter media), 8, 9, 10, 11
1, 2 (high pH), 3, 5, 6, 7 (sensors, filter media), 8, 10, 11
1, 2 (low pH), 3, 5 (resistance to abrasion), 6, 7 (sensors, filter media), 8, 9, 10, 11
1, 2 (low pH), 3, 5 (resistance to abrasion), 6, 7 (sensors, filter media), 8, 9, 10, 11
1, 2, 3, 5, 6, 7 (sensors, filter media), 8, 10, 11
1, 2 (high pH), 3, 5 (resistance to abrasion), 6, 7 (sensors, filter media), 8, 9, 10, 11
1, 2, 3, 5 (resistance to abrasion), 6, 7 (sensors, filter media), 8, 9, 10, 11
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 101
Facilities System
Article's General Identity
Planar systems - cobalt
Silica slurries
Planar systems - cobalt
Alumina slurries
Planar systems - cobalt
Oxidizers
Planar systems - gallium arsenide (GaAs) Silica slurries
Planar systems - GaAs Planar systems - nitride
Oxidizers Silica slurries
Planar systems - others
Chemical slurries
Fluoropolymer Likely Present PFA, PTFE, PVDF
PFA, PTFE, PVDF
PFA, PTFE, PVDF PFA, PTFE, PVDF
PFA, PTFE, PVDF PFA, PTFE, PVDF
PFA, PTFE, PVDF
Important Fluoropolymer Characteristics for Use Case from Table B-2
1, 2 (high pH), 3, 5 (resistance to abrasion), 6, 7 (sensors, filter media), 8, 9, 10, 11
1, 2 (high pH), 3, 5 (resistance to abrasion), 6, 7 (sensors, filter media), 8, 9, 10, 11
1, 2, 3, 5, 6, 7 (sensors, filter media), 8, 11
1, 2 (high and low pH), 3, 5 (resistance to abrasion), 6, 7 (sensors, filter media), 8, 9, 10, 11
1, 2, 3, 5, 6, 7 (sensors, filter media), 8, 10, 11
1, 2 (low pH), 3, 5 (resistance to abrasion), 6, 7 (sensors, filter media), 8, 9, 10, 11
1, 2 (high and low pH), 3, 5 (resistance to abrasion), 6, 7 (sensors, filter media), 8, 10, 11
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 102
Facilities System
Planar systems - others
Planar systems - others
Planar systems - others
Planar systems - others
Liquid waste systems - acid waste neutralization Liquid waste systems - acid waste neutralization Liquid waste systems - acid waste neutralization Liquid waste systems - acid waste neutralization
Article's General Identity
Alumina slurries
Ceria slurries
Silica slurries
Oxidizers Lift tank pumps (magnetically coupled centrifugal pump) pH sensors Flowmeters Valves (sulfuric control)
Fluoropolymer Likely Present PFA, PTFE, PVDF
PFA, PTFE, PVDF
PFA, PTFE, PVDF
PFA, PTFE, PVDF PTFE
Important Fluoropolymer Characteristics for Use Case from Table B-2
1, 2 (low pH), 3, 5 (resistance to abrasion), 6, 7 (sensors, filter media), 8, 9, 10, 11
1, 2 (low pH), 3, 5 (resistance to abrasion), 6, 7 (sensors, filter media), 8, 9, 10, 11
1, 2 (high and low pH), 3, 5 (resistance to abrasion), 6, 7 (sensors, filter media), 8, 9, 10, 11
1, 2, 3, 5, 6, 7 (sensors, filter media), 8, 10, 11
2, 3
FKM gaskets PTFE lined
Information not available at time of publication
2
PTFE lined
2, 5
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 103
Facilities System
Liquid waste systems - acid waste neutralization
Liquid waste systems - acid waste neutralization
Liquid waste systems - acid waste neutralization
Liquid waste systems - acid waste neutralization
Liquid waste systems - acid waste neutralization
Liquid waste systems - acid waste neutralization
Liquid waste systems - acid waste neutralization
Liquid waste systems - acid waste neutralization
Liquid waste systems - acid waste neutralization
Article's General Identity
Sulfuric piping Valves (caustic control) Diaphragm valves (sulfuric) Catalase dosing pipes Catalase valves Catalase pumps Analytical sampler tubing Anti-foam tubing Anti-foam pumps
Fluoropolymer Likely Present
PFA tube
Important Fluoropolymer Characteristics for Use Case from Table B-2
2, 5
PTFE
2, 3, 5
PTFE lined
2, 5
PFA tube PFA PTFE PFA tube
Information not available at time of publication
Information not available at time of publication
Information not available at time of publication
2, 3
PFA tube
5
PVDF/PTFE
5
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 104
Facilities System
Article's General Identity
Liquid waste systems - dilute copper waste treatment
Liquid waste systems - dilute copper waste treatment
Liquid waste systems - dilute copper waste treatment
Liquid waste systems - dilute copper waste treatment
Liquid waste systems - ammonia
Liquid waste systems - ammonia
Liquid waste systems - ammonia
Liquid waste systems - ammonia
Liquid waste systems - ammonia
Liquid waste systems - ammonia
Liquid waste systems - ammonia
Lift tank pumps (casing)
Lift tank pumps (impeller)
Biocide tubing
Filtrate housing
Collection piping Lift tank level sensors pH probes Lift tank pumps Analyzer tubing Fan skid seals Gaskets
Fluoropolymer Likely Present
Iron with ETFE lining
Important Fluoropolymer Characteristics for Use Case from Table B-2
2, 5
ETFE
2, 5
PFA
2
PFA
2, 5
PFA
2
PFA coated
2
PTFE, PVDF
2
ETFE lining
2
PFA
2
PTFE
2
PTFE, FKM
2
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 105
Facilities System
Article's General Identity
Liquid waste systems - solvent
Lift tank level sensors
Liquid waste systems - solvent
Lift tank pumps
Liquid waste systems - solvent
Valves
Liquid waste systems - concentrated sulfuric acid
Collection piping (lateral)
Liquid waste systems - concentrated sulfuric acid
Lift tank pumps
Liquid waste systems - concentrated sulfuric acid
Lift tanks (piping to treatment)
Liquid waste systems - concentrated sulfuric acid
Transfer piping (double containment)
Liquid waste systems - concentrated sulfuric acid
Valves
Liquid waste systems - ammonia/fluoride Lift tank level sensors
Liquid waste systems - ammonia/fluoride Lift tank pumps
Liquid waste systems - ammonia/fluoride Flow transmitters
Fluoropolymer Likely Present
PTFE PTFE-lined metal PTFE-lined metal PFA-lined metal, PVDF, ETFE PTFE-lined ductile iron
Important Fluoropolymer Characteristics for Use Case from Table B-2 2, 3 2, 3 2, 3 2
2
PFA-lined metal, PVDF, ETFE PVDF, ECTFE
PVDF, PTFE, PCTFE
PTFE ETFE-lined PTFE, PFA
2
Information not available at time of publication Information not available at time of publication 2 2 2
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 106
Facilities System
Article's General Identity
Fluoropolymer Likely Present
Liquid waste systems - ammonia/fluoride Sodium hydroxide piping
PFA
Liquid waste systems - hydrofluoric waste (HFW) treatment
Wet etch/parts clean/specialty laterals
PVDF
Liquid waste systems - HFW treatment Lift tank pumps
PTFE
Liquid waste systems - HFW treatment Tubing
PFA
(sulfuric acid addition)
Liquid waste systems - HFW treatment (sulfuric acid addition)
Valves
PFA, PTFE diaphragms
Liquid waste systems - HFW treatment (sulfuric acid addition)
Flow control valves
PTFE
Liquid waste systems - HFW treatment (sulfuric acid addition)
Flow and pressure transmitters
PTFE
Liquid waste systems - HFW treatment (calcium addition system)
Polymer pumps
PTFE diaphragms
Liquid waste systems - HFW treatment sludge tank
Level indicating transmitters (LITs) PTFE cone LIT
Liquid waste systems - HFW treatment LITs (HFW filtrate tank [effluent])
PTFE cone LIT
Important Fluoropolymer Characteristics for Use Case from Table B-2 2 2
2 2
2
2
2
2
2
2
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 107
Facilities System
Article's General Identity
Liquid waste systems - HFW treatment (HFW filtrate tank [effluent])
Liquid waste systems - HFW treatment (HFW filtrate tank [effluent])
Liquid waste systems - HFW treatment (HFW filtrate tank [effluent])
Liquid waste systems - HFW treatment (HFW analytical)
Liquid waste systems - HFW treatment (HFW analytical)
Liquid waste systems - HFW treatment (HFW analytical)
Liquid waste systems - copper waste treatment
Liquid waste systems - copper waste treatment
Liquid waste systems - copper waste treatment
Pumps Flow transmitters Pressure transmitters Sample delivery (tubing) Valves Chemical injection quills Collection piping Lift station pumps (wetted parts) Lift station pumps (diaphragms)
Fluoropolymer Likely Present
PTFE lined
Important Fluoropolymer Characteristics for Use Case from Table B-2
2
PTFE lined stainless steel 2
PTFE lined stainless steel 2
PFA
2
PFA
2
PFA
2
PVDF, ECTFE
2
ECTFE, PTFE
2
PTFE
2
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 108
Facilities System
Liquid waste systems - copper waste treatment
Liquid waste systems - copper waste treatment
Liquid waste systems - copper waste treatment
Liquid waste systems - copper waste treatment
Liquid waste systems - copper waste treatment
Liquid waste systems - copper waste treatment
Liquid waste systems - copper waste treatment
Liquid waste systems - copper waste treatment
Liquid waste systems - copper waste treatment
Article's General Identity Lift station pumps (O-rings)
Fluoropolymer Likely Present
FKM, FFKM
Important Fluoropolymer Characteristics for Use Case from Table B-2
2
Collection tanks
PVDF-lined fiberglass-
2
reinforced plastic (FRP)
Bisulfite skid PTFE (braided hoses) PVC with PTFE tubing
2
Bisulfite transfer pumps (body)
PVDF
2
Bisulfite transfer pumps
PTFE
2
(diaphragms)
Analyzer tubing
PFA
2
O-rings in ball valves
FKM
2
Check valves
FKM
2
Analyzer stopcock valves
PTFE
2
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 109
Facilities System
Article's General Identity
Liquid waste systems - copper waste treatment
Sulfuric valves
Liquid waste systems - copper waste treatment
Analyzer tubing
Air abatement systems - wet packed bed scrubbers (acid and caustic)
Collection ducts
Air abatement systems - wet packed bed scrubbers (acid and caustic)
Packing
Air abatement systems - wet packed bed scrubbers (acid and caustic)
pH measurement
Air abatement systems - wet packed bed scrubbers (acid and caustic)
Flowmeters
Air abatement systems - dosing pumps Body
Air abatement systems - dosing pumps Diaphragms
Fluoropolymer Likely Present
PFA
Important Fluoropolymer Characteristics for Use Case from Table B-2
2
Chloroprene with PFA
2
PTFE-lined stainless steel
Information not available at time of publication
PVDF
Information not available at time of publication
PVDF
Information not available at time of publication
PVDF
Information not available at time of publication
PVDF
Information not available at time of publication
PTFE-faced chlorosulfonated polyethylene (CSPE)backed or fabric-reinforced EPDM, PTFE-coated
Information not available at time of publication
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 110
Facilities System
Article's General Identity
Air abatement systems - dosing pumps Gaskets
Air abatement systems - fans (corrosive) Shaft seals
Air abatement systems - fans (corrosive) Gaskets
Air abatement systems - fans (noncorrosive)
Shaft seals
Air abatement systems - rotary concentrator thermal oxidizer (solvent abatement)
Concentrator seals
Air abatement systems - rotary concentrator thermal oxidizer (solvent abatement)
Flex connectors
Fluoropolymer Likely Present
FKM, PTFE
PTFE FKM, PTFE gasket tape PTFE
Important Fluoropolymer Characteristics for Use Case from Table B-2
Information not available at time of publication
2, 9
2
9
PTFE gasket seal tape
2, 10
PTFE-coated foil, PTFEcoated glass fabric
Information not available at time of publication
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 111
Appendix C: Fluorinated Polymer Processing Aids and Additives In the processing of polyolefins and other polymers, fluoropolymers are used as extrusion aids or polymer processing additives to eliminate melt fracture, reduce die drool, and improve the processability of recycled or reground polymers (Ebnesajjad and Morgan 2019). The manufacturing of certain fluoropolymers includes the use of fluorinated polymerization aids. Fluorinated additives are also present in some nonfluorinated polymers. They can be low or high molecular-weight additives (polymeric additives). In certain cases, the concentration of the additives is high enough that they are listed on the SDS (for example, 5% w/w or 10% w/w). There are no regulatory reporting requirements for these substances in articles, and so generally speaking, without a very intense and focused supply-chain investigation, a semiconductor equipment manufacturer might not know of their presence. There are no generally accepted test methods that can accurately and quantitatively detect these substances in solid-matrix polymers. A lower limit for unintended residuals or small amounts of such additives would enhance the practicability of any reporting requirement. There are also no requirements stating that an SDS must include information about PFAS in raw materials (powders, pellets or stock shapes of polymers), particularly when the PFAS is present in small amounts as a residual processing aid or additive. The Globally Harmonized System (GHS) for Classification and Labeling model for SDSs, regulatory texts implementing this part of the GHS (such as EU REACH Annex II), or the U.S. Occupational and Health Safety Administration Hazard Communication Standard have not required the disclosure of PFAS-containing materials if they are not considered hazardous, or if they are present only in very small quantities. These small amounts of additives and machining aids are often matters of intellectual property and considered confidential business information that is not shared with the downstream supply chain. Articles made from fluoropolymers may be present in the residuals of polymerization aids. Generally, the levels of these residuals are so low that they are not listed in an SDS or BOM. In contrast, fluorinated additives, whether polymeric or not, may be present in high-enough concentrations that they are listed on the SDS, but it is also feasible that the concentration might be so low that a listing is not required. Fluoropolymer-containing extrusion aids, sometimes referred to as polymer processing additives, are used in nonfluorinated polymers at low concentrations to aid with polymer processing. Confirming the presence of these products in articles may require inquiries along the value chain or testing. The OECD has a detailed report on the use of PFAS in coatings, paints and varnishes (OECD 2022). Even though trends in ink and paint design are to remove PFAS-containing materials, there are hundreds of thousands of components in warehouses globally that could have ink on them containing PFAS from production runs before the focus on PFAS.
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 112
Appendix D: Testing O-Ring Material Compatibility/Resistance to F-Radicals in Plasma Etching Tokyo Electron Ltd. carried out a series of tests to assess the compatibility of O-ring articles made from different materials with chemicals typically used in semiconductor manufacturing. This appendix summarizes the results of their tests. The first set of tests immersed O-rings in a typical organic solvent for photoresists and a typical wetetching chemical (concentrated hydrofluoric acid), and measured their weight change at specified time intervals. The study also noted changes in appearance. The O-rings selected for testing were made from EPDM, FKM and FFKM. Table D-1 lists the results of the O-ring organic solvent immersion test. Table D-1: Measurements of weight at various time intervals and physical appearance of three
O-rings immersed in an organic solvent.
Table D-1 and Figures D-1, D-2 and D-3 show how the O-rings changed during immersion. The EPDM O-ring got thinner at a constant rate and was outside tolerance in diameter after four weeks. It also lost elasticity. The FKM O-ring initially gained weight from swelling (absorption of the solvent) and was completely dissolved after two weeks. The FFKM O-ring did not change in weight nor appearance.
Figure D-1: Change in weight of the EPDM O-ring after exposure to a photoresist organic solvent.
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 113
Figure D-2: Change in weight of the FKM O-ring after exposure to a photoresist organic solvent.
Figure D-3: Change in weight of the FFKM O-ring after exposure to a photoresist organic solvent.
Table D-2 lists the results of the O-ring immersion in concentrated hydrofluoric acid, typically used in wet etching. Table D-2: Measurements of weight at various time intervals and physical appearance of three
O-rings immersed in concentrated hydrofluoric acid.
Table D-2 and Figures D-4, D-5 and D-6 show how the O-rings changed during immersion. Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 114
The EPDM O-ring swelled constantly and was outside tolerance in diameter in less than a week. Both the FKM and FFKM O-rings were within tolerance for weight loss.
Figure D-4: Change in weight of the EPDM O-ring after exposure to concentrated hydrofluoric acid.
Figure D-5: Change in weight of the FKM O-ring after exposure to concentrated hydrofluoric acid.
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 115
Figure D-6: Change in weight of the FFKM O-ring after exposure to concentrated hydrofluoric acid.
Another test measured the metallic leachate into deionized water (DIW). Figure E-7 shows the results of the test, which detected the metal ions calcium and zinc both for EPDM (>100 ppb) and FKM (<20 ppm).
Impurity Concentration in DI Water ppb
DIW:EPDM80K-1
DIW:EPDM80K-2 DIW:EPDM80K-3
FKM
Figure D-7: Comparison of metal leachate from EPDM and FKM O-rings when immersed in DIW.
While FFKM (not shown) does not leach metal ion, EPDM and FKM will leach metal ions to water at a level that is not tolerable for current IC technologies. EPDM metal contamination is more than 100 times higher, which may not be acceptable even for older technologies.
Another series of tests exposed O-rings made of EPDM, NBR, three types of VMQ (silicone rubber), FKM and FFKM to fluorine radicals (F-radicals) in the Vigus RK5 etching system.
The test conditions included a total pressure of 225 mTorr, High Frequency Power/Low Frequency Power = 2,000W/0W and CF4:O2 = 200:400. The duration of exposure was 10 hours.
Figures D-8 and D-9 illustrate the results.
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 116
The EPDM and NBR O-rings showed very poor F-radical resistance. Two of the VMQ O-rings and the FKM O-ring had visibly deteriorated, shown by particulation. Two of the VMQ O-rings had equal or better resistance than the FKM O-ring, but lower resistance than the FFKM O-ring.
Figure D-8: Weight change of various O-rings after F-radical exposure.
Figure D-9: Comparing appearance and weight before and after F-radical exposure. In vacuum chambers, a weight loss of O-rings above 15% means that the vacuum seal has failed. Therefore, EPDM, NBR and FKM do not provide production-worthy mean time between cleans (MTBC). The final test compared the sealing capability of VMQ and FFKM O-rings. The VMQ O-ring's sealing capability deteriorated with temperature increases, as shown in Figure D-10.
Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 117
Figure D-10: Comparison of sealing capability of VMQ and FFKM O-rings. Copyright 2023 the Semiconductor Industry Association (SIA). All rights reserved. 118